Skip to content

Commit

Permalink
Add missing DMI mux signals
Browse files Browse the repository at this point in the history
Internal-tag: [#52028]
Signed-off-by: Robert Szczepanski <[email protected]>
  • Loading branch information
robertszczepanski committed Jan 4, 2024
1 parent 5222c26 commit 0120e90
Show file tree
Hide file tree
Showing 2 changed files with 7 additions and 10 deletions.
1 change: 1 addition & 0 deletions design/dmi/dmi_mux.v
Original file line number Diff line number Diff line change
Expand Up @@ -27,6 +27,7 @@ module dmi_mux (
output wire [31:0] dmi_uncore_wdata,
input wire [31:0] dmi_uncore_rdata
);
logic is_uncore_aperture;

// Uncore address decoder
assign is_uncore_aperture = (dmi_addr[6] & (dmi_addr[5] | dmi_addr[4]));
Expand Down
16 changes: 6 additions & 10 deletions design/el2_veer_wrapper.sv
Original file line number Diff line number Diff line change
Expand Up @@ -333,11 +333,12 @@ import el2_pkg::*;
input logic mbist_mode, // to enable mbist

// DMI port for uncore
input logic dmi_uncore_enable,
output logic dmi_uncore_en,
output logic dmi_uncore_wr_en,
output logic dmi_uncore_addr,
output logic dmi_uncore_wdata,
input logic dmi_uncore_rdata
output logic [ 6:0] dmi_uncore_addr,
output logic [31:0] dmi_uncore_wdata,
input logic [31:0] dmi_uncore_rdata
);

logic active_l2clk;

Check warning on line 344 in design/el2_veer_wrapper.sv

View workflow job for this annotation

GitHub Actions / format-review

[verible-verilog-format] reported by reviewdog 🐶 Raw Output: design/el2_veer_wrapper.sv:344:- logic active_l2clk; design/el2_veer_wrapper.sv:345:- logic free_l2clk; design/el2_veer_wrapper.sv:343:+ logic active_l2clk; design/el2_veer_wrapper.sv:344:+ logic free_l2clk;
Expand Down Expand Up @@ -699,13 +700,6 @@ import el2_pkg::*;
logic [31:0] dmi_reg_wdata;
logic [31:0] dmi_reg_rdata;

// DMI (uncore)
logic dmi_uncore_en;
logic [6:0] dmi_uncore_addr;
logic dmi_uncore_wr_en;
logic [31:0] dmi_uncore_wdata;
logic [31:0] dmi_uncore_rdata;

// Instantiate the el2_veer core
el2_veer #(.pt(pt)) veer (
.clk(clk),
Expand Down Expand Up @@ -743,6 +737,8 @@ import el2_pkg::*;

// DMI core/uncore mux
dmi_mux dmi_mux (
.uncore_enable (dmi_uncore_enable),

.dmi_en (dmi_en),
.dmi_wr_en (dmi_wr_en),
.dmi_addr (dmi_addr),
Expand Down

0 comments on commit 0120e90

Please sign in to comment.