Skip to content

Commit

Permalink
[hardware] 🎨 adjust vertical alignment
Browse files Browse the repository at this point in the history
  • Loading branch information
mp-17 committed Sep 6, 2024
1 parent d6f534c commit 20ae7d1
Show file tree
Hide file tree
Showing 5 changed files with 207 additions and 207 deletions.
10 changes: 5 additions & 5 deletions hardware/src/accel_dispatcher_ideal.sv
Original file line number Diff line number Diff line change
Expand Up @@ -127,7 +127,7 @@ module accel_dispatcher_ideal import axi_pkg::*; import ara_pkg::*; #(
if (!rst_ni) begin
perf_cnt_q <= '0;
was_reset <= 1'b1;
end else begin
end else begin
perf_cnt_q <= perf_cnt_d;
end
end
Expand Down Expand Up @@ -209,7 +209,7 @@ endmodule
acc_req_o.req_valid = 1'b0;
// Flush the answer
acc_req_o.resp_ready = 1'b1;
acc_req_o.resp_ready = 1'b1;
acc_req_o = '0;
acc_req_o.frm = fpnew_pkg::RNE;
Expand Down Expand Up @@ -252,9 +252,9 @@ endmodule
$display("Start counting...");
// Loop until the last instruction is dispatched and until ara is idle again
while (i_system.acc_req_valid || !i_system.i_ara.ara_idle) begin
perf_cnt_d = perf_cnt_q + 1;
perf_cnt_d = perf_cnt_q + 1;
@(negedge clk_i);
end
end
$display("Stop counting.");
perf_cnt_d = perf_cnt_q;
$display("[cycles]: %d", int'(perf_cnt_q));
Expand All @@ -265,7 +265,7 @@ endmodule
always_ff @(posedge clk_i, negedge rst_ni) begin : p_perf_cnt_ideal
if (!rst_ni) begin
perf_cnt_q <= '0;
end else begin
end else begin
perf_cnt_q <= perf_cnt_d;
end
end
Expand Down
32 changes: 16 additions & 16 deletions hardware/src/ara.sv
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,15 @@ module ara import ara_pkg::*; #(
parameter fpext_support_e FPExtSupport = FPExtSupportEnable,
// Support for fixed-point data types
parameter fixpt_support_e FixPtSupport = FixedPointEnable,
// X-Interface
parameter type readregflags_t = logic,
parameter type writeregflags_t = logic,
parameter type x_req_t = logic,
parameter type x_resp_t = logic,
parameter type x_issue_req_t = logic,
parameter type x_issue_resp_t = logic,
parameter type x_result_t = logic,
parameter type x_acc_resp_t = logic,
// AXI Interface
parameter int unsigned AxiDataWidth = 0,
parameter int unsigned AxiAddrWidth = 0,
Expand All @@ -33,14 +42,6 @@ module ara import ara_pkg::*; #(

parameter int unsigned HARTID_WIDTH = ariane_pkg::NR_RGPR_PORTS,
parameter int unsigned ID_WIDTH = ariane_pkg::TRANS_ID_BITS,
parameter type readregflags_t = logic,
parameter type writeregflags_t = logic,
parameter type x_req_t = core_v_xif_pkg::x_req_t,
parameter type x_resp_t = core_v_xif_pkg::x_resp_t,
parameter type x_issue_req_t = core_v_xif_pkg::x_issue_req_t,
parameter type x_issue_resp_t = core_v_xif_pkg::x_issue_resp_t,
parameter type x_result_t = core_v_xif_pkg::x_result_t,
parameter type x_acc_resp_t = core_v_xif_pkg::x_acc_resp_t
) (
// Clock and Reset
input logic clk_i,
Expand Down Expand Up @@ -74,7 +75,6 @@ module ara import ara_pkg::*; #(
localparam int unsigned StrbWidth = DataWidth / 8;
typedef logic [StrbWidth-1:0] strb_t;


//////////////////
// Dispatcher //
//////////////////
Expand Down Expand Up @@ -132,13 +132,13 @@ module ara import ara_pkg::*; #(
logic instruction_ready;

ara_dispatcher #(
.NrLanes(NrLanes),
.NrLanes (NrLanes ),
.instr_pack_t(instr_pack_t),
.x_req_t (x_req_t),
.x_resp_t (x_resp_t),
.x_result_t (x_result_t),
.x_req_t (x_req_t ),
.x_resp_t (x_resp_t ),
.x_result_t (x_result_t ),
.x_acc_resp_t(x_acc_resp_t),
.csr_sync_t (csr_sync_t)
.csr_sync_t (csr_sync_t )
) i_dispatcher (
.clk_i (clk_i ),
.rst_ni (rst_ni ),
Expand Down Expand Up @@ -214,7 +214,7 @@ module ara import ara_pkg::*; #(
// Sequencer //
/////////////////

// Interface with the PEs
// Interface with the PEs
pe_req_t pe_req;
logic pe_req_valid;
logic [NrPEs-1:0] pe_req_ready;
Expand Down Expand Up @@ -577,4 +577,4 @@ module ara import ara_pkg::*; #(
$error(
"[ara] Cannot support half-precision floating-point on Ara if Ariane does not support it.");

endmodule : ara
endmodule : ara
4 changes: 2 additions & 2 deletions hardware/src/ara_dispatcher.sv
Original file line number Diff line number Diff line change
Expand Up @@ -16,7 +16,7 @@ module ara_dispatcher import ara_pkg::*; import rvv_pkg::*; #(
parameter fpext_support_e FPExtSupport = FPExtSupportEnable,
// Support for fixed-point data types
parameter fixpt_support_e FixPtSupport = FixedPointEnable,

// X-Interface
parameter type instr_pack_t = riscv::instruction_t,
parameter type x_req_t = core_v_xif_pkg::x_req_t,
parameter type x_resp_t = core_v_xif_pkg::x_resp_t,
Expand Down Expand Up @@ -3282,4 +3282,4 @@ module ara_dispatcher import ara_pkg::*; import rvv_pkg::*; #(
assign csr_sync_o.vxsat = vxsat_d;
assign csr_sync_o.vxrm = vxrm_d;

endmodule : ara_dispatcher
endmodule : ara_dispatcher
Loading

0 comments on commit 20ae7d1

Please sign in to comment.