Skip to content

Commit

Permalink
lint: disable always-ff-non-blocking check
Browse files Browse the repository at this point in the history
  • Loading branch information
Mattia Sinigaglia committed Jan 31, 2024
1 parent 6a4d0eb commit 5f3c9d3
Showing 1 changed file with 2 additions and 0 deletions.
2 changes: 2 additions & 0 deletions hw/ip/spatz_cc/src/spatz_mempool_cc.sv
Original file line number Diff line number Diff line change
Expand Up @@ -395,6 +395,7 @@ module spatz_mempool_cc
typedef enum logic [1:0] {SrcSnitch = 0, SrcFpu = 1, SrcFpuSeq = 2} trace_src_e;
localparam int SnitchTrace = `ifdef SNITCH_TRACE `SNITCH_TRACE `else 0 `endif;

// verilog_lint: waive-start always-ff-non-blocking
always_ff @(posedge clk_i or posedge rst_i) begin
automatic string trace_entry;
automatic string extras_str;
Expand Down Expand Up @@ -494,6 +495,7 @@ module spatz_mempool_cc
final begin
$fclose(f);
end
// verilog_lint: waive-stop always-ff-non-blocking
// pragma translate_on

endmodule

0 comments on commit 5f3c9d3

Please sign in to comment.