Skip to content

Commit

Permalink
Completely blank project that can be version controlled
Browse files Browse the repository at this point in the history
  • Loading branch information
benjaminkreis committed Feb 7, 2017
1 parent fc951b6 commit dc1864d
Showing 1 changed file with 20 additions and 2 deletions.
22 changes: 20 additions & 2 deletions hdl/top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -32,12 +32,30 @@ use IEEE.STD_LOGIC_1164.ALL;
--use UNISIM.VComponents.all;

entity top is
-- Port ( );
port(
clk1 : in STD_LOGIC;
clk2 : in STD_LOGIC
);
end top;

architecture Behavioral of top is

begin
-- components
-- functinos
-- constants
-- signals
signal sig_test : STD_LOGIC;

begin
-- not clocked
-- instantiate components

-- clocked
process(clk1)
begin
if rising_edge(clk1) then
sig_test <= clk1 and clk2;
end if; -- clk1 rising edge
end process;

end Behavioral;

0 comments on commit dc1864d

Please sign in to comment.