Skip to content

Commit

Permalink
Merge pull request #3901 from alainmarcel/alainmarcel-patch-1
Browse files Browse the repository at this point in the history
Seq lint rule
  • Loading branch information
alaindargelas authored Oct 11, 2023
2 parents be2aa15 + ba91399 commit 0a39aae
Show file tree
Hide file tree
Showing 9 changed files with 605 additions and 141 deletions.
3 changes: 2 additions & 1 deletion include/Surelog/ErrorReporting/ErrorDefinition.h
Original file line number Diff line number Diff line change
Expand Up @@ -275,7 +275,8 @@ class ErrorDefinition {
UHDM_UNDEFINED_VARIABLE = 726,
UHDM_INVALID_CASE_STMT_VALUE = 727,
UHDM_UNSUPPORTED_TYPESPEC = 728,
UHDM_UNRESOLVED_PROPERTY = 729
UHDM_UNRESOLVED_PROPERTY = 729,
UHDM_NON_TEMPORAL_SEQUENCE_USE = 730
};

class ErrorInfo {
Expand Down
2 changes: 2 additions & 0 deletions src/ErrorReporting/ErrorDefinition.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -475,6 +475,8 @@ bool ErrorDefinition::init() {
rec(UHDM_INVALID_CASE_STMT_VALUE, ERROR, UHDM, "Invalid case stmt value");
rec(UHDM_UNSUPPORTED_TYPESPEC, ERROR, UHDM, "Unsupported typespec \"%s\"");
rec(UHDM_UNRESOLVED_PROPERTY, ERROR, UHDM, "Unresolved property \"%s\"");
rec(UHDM_NON_TEMPORAL_SEQUENCE_USE, ERROR, UHDM,
"Sequence used in non-temporal context \"%s\"");
return true;
}

Expand Down
452 changes: 452 additions & 0 deletions tests/SeqUseNonTemp/SeqUseNonTemp.log

Large diffs are not rendered by default.

1 change: 1 addition & 0 deletions tests/SeqUseNonTemp/SeqUseNonTemp.sl
Original file line number Diff line number Diff line change
@@ -0,0 +1 @@
-parse -d uhdm -d coveruhdm -elabuhdm -d ast dut.sv -nobuiltin
11 changes: 11 additions & 0 deletions tests/SeqUseNonTemp/dut.sv
Original file line number Diff line number Diff line change
@@ -0,0 +1,11 @@
module top();

sequence seq_count_increment;
@(posedge clk) (count + 1'b1) or (count == 4'b1111);
endsequence

property p_count_increment;
@(posedge clk) not reset |-> count === seq_count_increment;
endproperty

endmodule
2 changes: 1 addition & 1 deletion third_party/UHDM
6 changes: 3 additions & 3 deletions third_party/tests/CoresSweRVMP/CoresSweRVMP.log
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,7 @@ CMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required):
CMake that the project does not need compatibility with older versions.


-- Configuring done (0.1s)
-- Configuring done (0.0s)
-- Generating done (0.0s)
-- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser
[100%] Generating preprocessing
Expand Down Expand Up @@ -85,8 +85,8 @@ CMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required):
[ 18%] Generating 12_beh_lib.sv
[ 25%] Generating 13_ifu_mem_ctl.sv
[ 31%] Generating 14_mem_lib.sv
[ 37%] Generating 16_dec_decode_ctl.sv
[ 43%] Generating 15_exu.sv
[ 37%] Generating 15_exu.sv
[ 43%] Generating 16_dec_decode_ctl.sv
[ 50%] Generating 1_lsu_stbuf.sv
[ 56%] Generating 2_ahb_to_axi4.sv
[ 62%] Generating 3_rvjtag_tap.sv
Expand Down
3 changes: 0 additions & 3 deletions third_party/tests/Ibex/Ibex.log
Original file line number Diff line number Diff line change
Expand Up @@ -108,7 +108,6 @@ PP CACHE USED FOR: ${SURELOG_DIR}/third_party/UVM/uvm-1.2/src/uvm_pkg.sv
[INF:PP0123] Preprocessing include file "${SURELOG_DIR}/third_party/tests/Ibex/dv/uvm/core_ibex/tests/core_ibex_base_test.sv".
[INF:PP0123] Preprocessing include file "${SURELOG_DIR}/third_party/tests/Ibex/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv".
[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/Ibex/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv".
[INF:PP0122] Preprocessing source file "${SURELOG_DIR}/third_party/tests/Ibex/rtl/ibex_pmp.sv".
[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/UVM/uvm-1.2/src/uvm_pkg.sv".
PARSER CACHE USED FOR: ${SURELOG_DIR}/third_party/UVM/uvm-1.2/src/uvm_pkg.sv
[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/Ibex/vendor/lowrisc_ip/prim/rtl/prim_assert.sv".
Expand Down Expand Up @@ -168,8 +167,6 @@ PARSER CACHE USED FOR: ${SURELOG_DIR}/third_party/UVM/uvm-1.2/src/uvm_pkg.sv
[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/Ibex/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv".
[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/Ibex/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv".
[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/Ibex/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv".
[INF:PA0201] Parsing source file "${SURELOG_DIR}/third_party/tests/Ibex/rtl/ibex_pmp.sv".
PARSER CACHE USED FOR: ${SURELOG_DIR}/third_party/tests/Ibex/rtl/ibex_pmp.sv
[INF:CM0029] Using global timescale: "1ns/10ps".
[INF:CP0300] Compilation...
[INF:CP0301] ${SURELOG_DIR}/build/bin/1800.2-2017-1.0/src/uvm_pkg.sv:28:1: Compile package "uvm_pkg".
Expand Down
266 changes: 133 additions & 133 deletions third_party/tests/NyuziProcessor/NyuziProcessor.log

Large diffs are not rendered by default.

0 comments on commit 0a39aae

Please sign in to comment.