diff --git a/hw/ip/spatz_cc/src/spatz_mempool_cc.sv b/hw/ip/spatz_cc/src/spatz_mempool_cc.sv index ac6da39c..e93ab481 100644 --- a/hw/ip/spatz_cc/src/spatz_mempool_cc.sv +++ b/hw/ip/spatz_cc/src/spatz_mempool_cc.sv @@ -395,6 +395,7 @@ module spatz_mempool_cc typedef enum logic [1:0] {SrcSnitch = 0, SrcFpu = 1, SrcFpuSeq = 2} trace_src_e; localparam int SnitchTrace = `ifdef SNITCH_TRACE `SNITCH_TRACE `else 0 `endif; + // verilog_lint: waive-start always-ff-non-blocking always_ff @(posedge clk_i or posedge rst_i) begin automatic string trace_entry; automatic string extras_str; @@ -494,6 +495,7 @@ module spatz_mempool_cc final begin $fclose(f); end + // verilog_lint: waive-stop always-ff-non-blocking // pragma translate_on endmodule