From 944e45328cde3674e036b638fa9f5453355bdaba Mon Sep 17 00:00:00 2001 From: AngelaGonzalezMarino Date: Tue, 19 Dec 2023 11:57:21 +0100 Subject: [PATCH] remove unwanted comments --- core/mmu_unify/cva6_ptw.sv | 5 ----- 1 file changed, 5 deletions(-) diff --git a/core/mmu_unify/cva6_ptw.sv b/core/mmu_unify/cva6_ptw.sv index 204a353721..6bce0a2337 100644 --- a/core/mmu_unify/cva6_ptw.sv +++ b/core/mmu_unify/cva6_ptw.sv @@ -385,11 +385,6 @@ genvar x; else state_d = LATENCY; end end - - //for simulation purposes - // initial begin - // ptw_lvl_q <= 1; - // end // sequential process always_ff @(posedge clk_i or negedge rst_ni) begin