From 20c6556e4c3f885ba320cda35f54d1d41a48f2fc Mon Sep 17 00:00:00 2001 From: Florian Zaruba Date: Wed, 18 Oct 2023 17:39:06 +0200 Subject: [PATCH] tracer: Use `hart_id` for trace file format (#1543) --- core/cva6.sv | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/core/cva6.sv b/core/cva6.sv index d256d2065f..c97e9a83a6 100644 --- a/core/cva6.sv +++ b/core/cva6.sv @@ -1291,7 +1291,9 @@ module cva6 logic [63:0] cycles; initial begin - f = $fopen("trace_hart_00.dasm", "w"); + string fn; + $sformat(fn, "trace_hart_%0.0f.dasm", hart_id_i); + f = $fopen(fn, "w"); end always_ff @(posedge clk_i or negedge rst_ni) begin