From 877f60da6535d50945b6bfb4aeaeaaec1e5feea1 Mon Sep 17 00:00:00 2001 From: Henner Zeller Date: Fri, 22 Nov 2024 20:54:33 -0800 Subject: [PATCH] Prefix all project sources with verible. Everything toplevel common/ and verilog/ is now named verible/common/ and verible/verilog. This make it easier to distinguish project-specific files. Since file is renamed, use `git log --follow` to get more history. --- .clang-tidy | 2 +- .github/bin/check-potential-problems.sh | 12 +- .github/bin/error-log-analyzer.py | 2 +- .github/bin/run-clang-tidy-cached.cc | 4 +- .github/bin/simple-install.sh | 2 +- .github/bin/smoke-test.sh | 27 +- .github/workflows/verible-ci.yml | 10 +- BUILD | 32 +- README.md | 4 +- doc/formatter.md | 2 +- doc/indexing.md | 2 +- kythe-browse.sh | 4 +- third_party/portable_endian/BUILD | 2 +- {common => verible/common}/README.md | 0 {common => verible/common}/analysis/BUILD | 242 +- {common => verible/common}/analysis/README.md | 0 .../common}/analysis/citation.cc | 2 +- .../common}/analysis/citation.h | 0 .../common}/analysis/command-file-lexer.cc | 12 +- .../common}/analysis/command-file-lexer.h | 6 +- .../analysis/command-file-lexer_test.cc | 10 +- .../common}/analysis/command_file.lex | 2 +- .../common}/analysis/file-analyzer.cc | 22 +- .../common}/analysis/file-analyzer.h | 16 +- .../common}/analysis/file-analyzer_test.cc | 8 +- .../common}/analysis/line-lint-rule.h | 2 +- .../common}/analysis/line-linter-test-utils.h | 12 +- .../common}/analysis/line-linter.cc | 8 +- .../common}/analysis/line-linter.h | 4 +- .../common}/analysis/line-linter_test.cc | 8 +- .../common}/analysis/lint-rule-status.cc | 18 +- .../common}/analysis/lint-rule-status.h | 12 +- .../common}/analysis/lint-rule-status_test.cc | 8 +- .../common}/analysis/lint-rule.h | 2 +- .../common}/analysis/lint-waiver.cc | 26 +- .../common}/analysis/lint-waiver.h | 10 +- .../common}/analysis/lint-waiver_test.cc | 12 +- .../common}/analysis/linter-test-utils.cc | 8 +- .../common}/analysis/linter-test-utils.h | 6 +- .../analysis/linter-test-utils_test.cc | 6 +- .../common}/analysis/matcher/BUILD | 78 +- .../common}/analysis/matcher/README.md | 0 .../analysis/matcher/bound-symbol-manager.cc | 8 +- .../analysis/matcher/bound-symbol-manager.h | 4 +- .../common}/analysis/matcher/core-matchers.h | 6 +- .../analysis/matcher/core-matchers_test.cc | 14 +- .../common}/analysis/matcher/descent-path.cc | 8 +- .../common}/analysis/matcher/descent-path.h | 2 +- .../analysis/matcher/descent-path_test.cc | 14 +- .../analysis/matcher/inner-match-handlers.cc | 8 +- .../analysis/matcher/inner-match-handlers.h | 6 +- .../analysis/matcher/matcher-builders.h | 8 +- .../analysis/matcher/matcher-builders_test.cc | 18 +- .../analysis/matcher/matcher-test-utils.cc | 16 +- .../analysis/matcher/matcher-test-utils.h | 6 +- .../common}/analysis/matcher/matcher.cc | 6 +- .../common}/analysis/matcher/matcher.h | 4 +- .../common}/analysis/matcher/matcher_test.cc | 18 +- .../common}/analysis/syntax-tree-lint-rule.h | 10 +- .../analysis/syntax-tree-linter-test-utils.h | 12 +- .../common}/analysis/syntax-tree-linter.cc | 16 +- .../common}/analysis/syntax-tree-linter.h | 12 +- .../analysis/syntax-tree-linter_test.cc | 20 +- .../analysis/syntax-tree-search-test-utils.cc | 12 +- .../analysis/syntax-tree-search-test-utils.h | 6 +- .../syntax-tree-search-test-utils_test.cc | 8 +- .../common}/analysis/syntax-tree-search.cc | 16 +- .../common}/analysis/syntax-tree-search.h | 6 +- .../analysis/syntax-tree-search_test.cc | 12 +- .../analysis/text-structure-lint-rule.h | 4 +- .../text-structure-linter-test-utils.h | 12 +- .../common}/analysis/text-structure-linter.cc | 10 +- .../common}/analysis/text-structure-linter.h | 6 +- .../analysis/text-structure-linter_test.cc | 10 +- .../common}/analysis/token-stream-lint-rule.h | 4 +- .../analysis/token-stream-linter-test-utils.h | 12 +- .../common}/analysis/token-stream-linter.cc | 10 +- .../common}/analysis/token-stream-linter.h | 6 +- .../analysis/token-stream-linter_test.cc | 10 +- .../common}/analysis/violation-handler.cc | 12 +- .../common}/analysis/violation-handler.h | 2 +- {common => verible/common}/formatting/BUILD | 272 +- .../common}/formatting/README.md | 0 .../common}/formatting/align.cc | 40 +- {common => verible/common}/formatting/align.h | 22 +- .../common}/formatting/align_test.cc | 34 +- .../formatting/basic-format-style-init.cc | 4 +- .../formatting/basic-format-style-init.h | 2 +- .../common}/formatting/basic-format-style.cc | 4 +- .../common}/formatting/basic-format-style.h | 0 .../formatting/basic-format-style_test.cc | 2 +- .../common}/formatting/format-token.cc | 20 +- .../common}/formatting/format-token.h | 8 +- .../common}/formatting/format-token_test.cc | 10 +- .../formatting/layout-optimizer-internal.h | 14 +- .../common}/formatting/layout-optimizer.cc | 20 +- .../common}/formatting/layout-optimizer.h | 4 +- .../formatting/layout-optimizer_test.cc | 26 +- .../common}/formatting/line-wrap-searcher.cc | 16 +- .../common}/formatting/line-wrap-searcher.h | 4 +- .../formatting/line-wrap-searcher_test.cc | 12 +- .../common}/formatting/state-node.cc | 18 +- .../common}/formatting/state-node.h | 8 +- .../common}/formatting/state-node_test.cc | 14 +- .../token-partition-tree-test-utils.cc | 12 +- .../token-partition-tree-test-utils.h | 6 +- .../formatting/token-partition-tree.cc | 34 +- .../common}/formatting/token-partition-tree.h | 12 +- .../formatting/token-partition-tree_test.cc | 24 +- .../common}/formatting/tree-annotator.cc | 14 +- .../common}/formatting/tree-annotator.h | 8 +- .../common}/formatting/tree-annotator_test.cc | 16 +- .../common}/formatting/tree-unwrapper.cc | 28 +- .../common}/formatting/tree-unwrapper.h | 18 +- .../common}/formatting/tree-unwrapper_test.cc | 22 +- .../formatting/unwrapped-line-test-utils.cc | 6 +- .../formatting/unwrapped-line-test-utils.h | 8 +- .../common}/formatting/unwrapped-line.cc | 20 +- .../common}/formatting/unwrapped-line.h | 6 +- .../common}/formatting/unwrapped-line_test.cc | 14 +- .../common}/formatting/verification.cc | 6 +- .../common}/formatting/verification.h | 2 +- .../common}/formatting/verification_test.cc | 4 +- {common => verible/common}/lexer/BUILD | 40 +- {common => verible/common}/lexer/README.md | 0 .../common}/lexer/flex-lexer-adapter.h | 6 +- .../common}/lexer/lexer-test-util.cc | 6 +- .../common}/lexer/lexer-test-util.h | 6 +- .../common}/lexer/lexer-test-util_test.cc | 6 +- {common => verible/common}/lexer/lexer.h | 2 +- .../common}/lexer/token-generator.h | 2 +- .../common}/lexer/token-stream-adapter.cc | 10 +- .../common}/lexer/token-stream-adapter.h | 8 +- .../lexer/token-stream-adapter_test.cc | 12 +- {common => verible/common}/lsp/BUILD | 10 +- {common => verible/common}/lsp/dummy-ls.cc | 8 +- .../common}/lsp/dummy-ls_test.sh | 0 .../common}/lsp/jcxxgen-testfile.yaml | 0 {common => verible/common}/lsp/jcxxgen.cc | 2 +- .../common}/lsp/jcxxgen_test.cc | 2 +- .../common}/lsp/json-rpc-dispatcher.cc | 4 +- .../common}/lsp/json-rpc-dispatcher.h | 0 .../common}/lsp/json-rpc-dispatcher_test.cc | 2 +- .../common}/lsp/json-rpc-expect.cc | 2 +- .../common}/lsp/lsp-file-utils.cc | 2 +- .../common}/lsp/lsp-file-utils.h | 0 .../common}/lsp/lsp-file-utils_test.cc | 2 +- .../common}/lsp/lsp-protocol-enums.h | 0 .../common}/lsp/lsp-protocol-operators.h | 2 +- .../lsp/lsp-protocol-operators_test.cc | 4 +- .../common}/lsp/lsp-protocol.yaml | 0 .../common}/lsp/lsp-text-buffer.cc | 8 +- .../common}/lsp/lsp-text-buffer.h | 4 +- .../common}/lsp/lsp-text-buffer_test.cc | 6 +- .../common}/lsp/message-stream-splitter.cc | 4 +- .../common}/lsp/message-stream-splitter.h | 0 .../lsp/message-stream-splitter_test.cc | 2 +- {common => verible/common}/parser/BUILD | 60 +- {common => verible/common}/parser/README.md | 0 .../common}/parser/bison-parser-adapter.h | 12 +- .../common}/parser/bison-parser-common.cc | 12 +- .../common}/parser/bison-parser-common.h | 4 +- .../parser/bison-parser-common_test.cc | 18 +- {common => verible/common}/parser/parse.h | 4 +- .../common}/parser/parser-param.cc | 14 +- .../common}/parser/parser-param.h | 6 +- .../common}/parser/parser-test-util.h | 10 +- {common => verible/common}/parser/yacc.bzl | 0 {common => verible/common}/strings/BUILD | 74 +- {common => verible/common}/strings/README.md | 0 .../common}/strings/comment-utils.cc | 6 +- .../common}/strings/comment-utils.h | 0 .../common}/strings/comment-utils_test.cc | 4 +- {common => verible/common}/strings/compare.h | 0 .../common}/strings/compare_test.cc | 2 +- {common => verible/common}/strings/diff.cc | 8 +- {common => verible/common}/strings/diff.h | 2 +- .../common}/strings/diff_test.cc | 4 +- .../common}/strings/display-utils.cc | 2 +- .../common}/strings/display-utils.h | 0 .../common}/strings/display-utils_test.cc | 2 +- .../common}/strings/line-column-map.cc | 4 +- .../common}/strings/line-column-map.h | 0 .../common}/strings/line-column-map_test.cc | 2 +- .../common}/strings/mem-block.h | 0 .../common}/strings/naming-utils.cc | 2 +- .../common}/strings/naming-utils.h | 0 .../common}/strings/naming-utils_test.cc | 2 +- .../common}/strings/obfuscator.cc | 4 +- .../common}/strings/obfuscator.h | 4 +- .../common}/strings/obfuscator_test.cc | 8 +- {common => verible/common}/strings/patch.cc | 22 +- {common => verible/common}/strings/patch.h | 8 +- .../common}/strings/patch_test.cc | 4 +- .../common}/strings/position.cc | 2 +- {common => verible/common}/strings/position.h | 4 +- .../common}/strings/position_test.cc | 2 +- {common => verible/common}/strings/random.cc | 8 +- {common => verible/common}/strings/random.h | 0 .../common}/strings/random_test.cc | 2 +- {common => verible/common}/strings/range.cc | 6 +- {common => verible/common}/strings/range.h | 0 .../common}/strings/range_test.cc | 4 +- {common => verible/common}/strings/rebase.cc | 4 +- {common => verible/common}/strings/rebase.h | 0 .../common}/strings/rebase_test.cc | 4 +- {common => verible/common}/strings/split.cc | 2 +- {common => verible/common}/strings/split.h | 0 .../common}/strings/split_test.cc | 6 +- .../common}/strings/string-memory-map.h | 8 +- .../common}/strings/string-memory-map_test.cc | 8 +- {common => verible/common}/strings/utf8.h | 0 .../common}/strings/utf8_test.cc | 2 +- {common => verible/common}/text/BUILD | 120 +- {common => verible/common}/text/README.md | 0 .../common}/text/concrete-syntax-leaf.cc | 14 +- .../common}/text/concrete-syntax-leaf.h | 8 +- .../common}/text/concrete-syntax-leaf_test.cc | 4 +- .../common}/text/concrete-syntax-tree.cc | 12 +- .../common}/text/concrete-syntax-tree.h | 16 +- .../common}/text/concrete-syntax-tree_test.cc | 14 +- .../common}/text/config-utils.cc | 4 +- .../common}/text/config-utils.h | 0 .../common}/text/config-utils_test.cc | 2 +- {common => verible/common}/text/constants.h | 0 .../common}/text/macro-definition.cc | 6 +- .../common}/text/macro-definition.h | 2 +- .../common}/text/macro-definition_test.cc | 6 +- .../common}/text/parser-verifier.cc | 10 +- .../common}/text/parser-verifier.h | 14 +- .../common}/text/parser-verifier_test.cc | 10 +- {common => verible/common}/text/symbol-ptr.h | 0 {common => verible/common}/text/symbol.cc | 2 +- {common => verible/common}/text/symbol.h | 6 +- .../common}/text/syntax-tree-context.h | 8 +- .../common}/text/syntax-tree-context_test.cc | 6 +- .../common}/text/text-structure-test-utils.cc | 14 +- .../common}/text/text-structure-test-utils.h | 4 +- .../common}/text/text-structure.cc | 26 +- .../common}/text/text-structure.h | 14 +- .../common}/text/text-structure_test.cc | 30 +- .../common}/text/token-info-json.cc | 4 +- .../common}/text/token-info-json.h | 2 +- .../common}/text/token-info-json_test.cc | 6 +- .../common}/text/token-info-test-util.cc | 6 +- .../common}/text/token-info-test-util.h | 2 +- .../common}/text/token-info-test-util_test.cc | 4 +- {common => verible/common}/text/token-info.cc | 10 +- {common => verible/common}/text/token-info.h | 4 +- .../common}/text/token-info_test.cc | 6 +- .../common}/text/token-stream-view.cc | 6 +- .../common}/text/token-stream-view.h | 4 +- .../common}/text/token-stream-view_test.cc | 10 +- .../common}/text/tree-builder-test-util.cc | 8 +- .../common}/text/tree-builder-test-util.h | 4 +- .../text/tree-builder-test-util_test.cc | 6 +- .../common}/text/tree-compare.cc | 6 +- .../common}/text/tree-compare.h | 4 +- .../common}/text/tree-compare_test.cc | 6 +- .../common}/text/tree-context-visitor.cc | 10 +- .../common}/text/tree-context-visitor.h | 6 +- .../common}/text/tree-context-visitor_test.cc | 12 +- {common => verible/common}/text/tree-utils.cc | 22 +- {common => verible/common}/text/tree-utils.h | 14 +- .../common}/text/tree-utils_test.cc | 20 +- {common => verible/common}/text/visitors.h | 2 +- {common => verible/common}/tools/BUILD | 14 +- {common => verible/common}/tools/README.md | 0 .../common}/tools/patch-tool.cc | 12 +- .../common}/tools/patch_tool_test.sh | 0 .../verible-transform-interactive-test.sh | 0 .../tools/verible-transform-interactive.sh | 0 {common => verible/common}/util/BUILD | 10 +- {common => verible/common}/util/README.md | 0 {common => verible/common}/util/algorithm.h | 0 .../common}/util/algorithm_test.cc | 4 +- .../common}/util/auto-iterator.h | 0 .../common}/util/auto-iterator_test.cc | 2 +- .../common}/util/auto-pop-stack.h | 2 +- .../common}/util/auto-pop-stack_test.cc | 4 +- .../common}/util/bijective-map.h | 2 +- .../common}/util/bijective-map_test.cc | 6 +- {common => verible/common}/util/casts.h | 0 .../common}/util/container-iterator-range.h | 4 +- .../util/container-iterator-range_test.cc | 2 +- .../common}/util/container-proxy.h | 0 .../common}/util/container-proxy_test.cc | 6 +- .../common}/util/container-util.h | 2 +- {common => verible/common}/util/enum-flags.h | 4 +- .../common}/util/enum-flags_test.cc | 2 +- .../common}/util/expandable-tree-view.h | 6 +- .../common}/util/expandable-tree-view_test.cc | 6 +- {common => verible/common}/util/file-util.cc | 6 +- {common => verible/common}/util/file-util.h | 2 +- .../common}/util/file-util_test.cc | 2 +- {common => verible/common}/util/forward.h | 0 .../common}/util/forward_test.cc | 2 +- .../common}/util/init-command-line.cc | 4 +- .../common}/util/init-command-line.h | 0 .../common}/util/interval-map.h | 4 +- .../common}/util/interval-map_test.cc | 4 +- .../common}/util/interval-set.h | 8 +- .../common}/util/interval-set_test.cc | 6 +- {common => verible/common}/util/interval.h | 2 +- .../common}/util/interval_test.cc | 2 +- .../common}/util/iterator-adaptors.h | 4 +- .../common}/util/iterator-adaptors_test.cc | 4 +- .../common}/util/iterator-range.h | 0 .../common}/util/iterator-range_test.cc | 4 +- {common => verible/common}/util/logging.h | 0 {common => verible/common}/util/map-tree.h | 4 +- .../common}/util/map-tree_test.cc | 4 +- {common => verible/common}/util/range.h | 2 +- {common => verible/common}/util/range_test.cc | 4 +- {common => verible/common}/util/sha256.cc | 2 +- {common => verible/common}/util/sha256.h | 0 .../common}/util/sha256_test.cc | 2 +- {common => verible/common}/util/simple-zip.cc | 2 +- {common => verible/common}/util/simple-zip.h | 0 .../common}/util/simple-zip_test.cc | 4 +- {common => verible/common}/util/spacer.cc | 2 +- {common => verible/common}/util/spacer.h | 0 .../common}/util/spacer_test.cc | 2 +- .../common}/util/status-macros.h | 0 {common => verible/common}/util/subcommand.cc | 2 +- {common => verible/common}/util/subcommand.h | 4 +- .../common}/util/subcommand_test.cc | 2 +- .../common}/util/thread-pool.cc | 2 +- {common => verible/common}/util/thread-pool.h | 0 .../common}/util/thread-pool_test.cc | 2 +- {common => verible/common}/util/top-n.h | 0 {common => verible/common}/util/top-n_test.cc | 2 +- .../common}/util/tree-operations.cc | 2 +- .../common}/util/tree-operations.h | 6 +- .../common}/util/tree-operations_test.cc | 12 +- {common => verible/common}/util/type-traits.h | 0 .../common}/util/type-traits_test.cc | 2 +- .../common}/util/user-interaction.cc | 2 +- .../common}/util/user-interaction.h | 0 .../common}/util/user-interaction_test.cc | 2 +- {common => verible/common}/util/value-saver.h | 0 .../common}/util/value-saver_test.cc | 2 +- .../common}/util/vector-tree-iterators.h | 6 +- .../util/vector-tree-iterators_test.cc | 6 +- .../common}/util/vector-tree-test-util.cc | 4 +- .../common}/util/vector-tree-test-util.h | 4 +- {common => verible/common}/util/vector-tree.h | 6 +- .../common}/util/vector-tree_test.cc | 8 +- {common => verible/common}/util/with-reason.h | 0 .../common}/util/with-reason_test.cc | 2 +- verible/verilog/CST/BUILD | 960 +++++++ {verilog => verible/verilog}/CST/DPI.cc | 12 +- {verilog => verible/verilog}/CST/DPI.h | 18 +- {verilog => verible/verilog}/CST/DPI_test.cc | 12 +- {verilog => verible/verilog}/CST/README.md | 0 {verilog => verible/verilog}/CST/class.cc | 18 +- {verilog => verible/verilog}/CST/class.h | 6 +- .../verilog}/CST/class_test.cc | 14 +- .../verilog}/CST/constraints.cc | 20 +- .../verilog}/CST/constraints.h | 10 +- .../verilog}/CST/constraints_test.cc | 14 +- .../verilog}/CST/context-functions.h | 4 +- .../verilog}/CST/context-functions_test.cc | 14 +- {verilog => verible/verilog}/CST/data.cc | 24 +- {verilog => verible/verilog}/CST/data.h | 6 +- {verilog => verible/verilog}/CST/data_test.cc | 8 +- .../verilog}/CST/declaration.cc | 28 +- .../verilog}/CST/declaration.h | 16 +- .../verilog}/CST/declaration_test.cc | 14 +- .../verilog}/CST/dimensions.cc | 14 +- {verilog => verible/verilog}/CST/dimensions.h | 6 +- .../verilog}/CST/dimensions_test.cc | 24 +- .../verilog}/CST/expression.cc | 24 +- {verilog => verible/verilog}/CST/expression.h | 18 +- .../verilog}/CST/expression_test.cc | 30 +- {verilog => verible/verilog}/CST/functions.cc | 18 +- {verilog => verible/verilog}/CST/functions.h | 14 +- .../verilog}/CST/functions_test.cc | 26 +- .../verilog}/CST/identifier.cc | 24 +- {verilog => verible/verilog}/CST/identifier.h | 6 +- .../verilog}/CST/identifier_test.cc | 16 +- {verilog => verible/verilog}/CST/macro.cc | 18 +- {verilog => verible/verilog}/CST/macro.h | 10 +- .../verilog}/CST/macro_test.cc | 18 +- .../verilog}/CST/match-test-utils.cc | 10 +- .../verilog}/CST/match-test-utils.h | 6 +- {verilog => verible/verilog}/CST/module.cc | 20 +- {verilog => verible/verilog}/CST/module.h | 14 +- .../verilog}/CST/module_test.cc | 14 +- {verilog => verible/verilog}/CST/net.cc | 24 +- {verilog => verible/verilog}/CST/net.h | 10 +- {verilog => verible/verilog}/CST/net_test.cc | 20 +- {verilog => verible/verilog}/CST/numbers.cc | 4 +- {verilog => verible/verilog}/CST/numbers.h | 0 .../verilog}/CST/numbers_test.cc | 2 +- {verilog => verible/verilog}/CST/package.cc | 20 +- {verilog => verible/verilog}/CST/package.h | 10 +- .../verilog}/CST/package_test.cc | 20 +- .../verilog}/CST/parameters.cc | 26 +- {verilog => verible/verilog}/CST/parameters.h | 18 +- .../verilog}/CST/parameters_test.cc | 26 +- {verilog => verible/verilog}/CST/port.cc | 20 +- {verilog => verible/verilog}/CST/port.h | 10 +- {verilog => verible/verilog}/CST/port_test.cc | 24 +- {verilog => verible/verilog}/CST/seq-block.cc | 20 +- {verilog => verible/verilog}/CST/seq-block.h | 8 +- .../verilog}/CST/seq-block_test.cc | 16 +- {verilog => verible/verilog}/CST/statement.cc | 22 +- {verilog => verible/verilog}/CST/statement.h | 8 +- .../verilog}/CST/statement_test.cc | 26 +- {verilog => verible/verilog}/CST/tasks.cc | 16 +- {verilog => verible/verilog}/CST/tasks.h | 8 +- .../verilog}/CST/tasks_test.cc | 30 +- {verilog => verible/verilog}/CST/type.cc | 20 +- {verilog => verible/verilog}/CST/type.h | 18 +- {verilog => verible/verilog}/CST/type_test.cc | 22 +- .../verilog}/CST/verilog-matchers.cc | 0 .../verilog}/CST/verilog-matchers.h | 12 +- .../verilog}/CST/verilog-matchers_test.cc | 12 +- .../verilog}/CST/verilog-nonterminals.cc | 4 +- .../verilog}/CST/verilog-nonterminals.h | 2 +- .../verilog}/CST/verilog-nonterminals_test.cc | 4 +- .../verilog}/CST/verilog-tree-json.cc | 24 +- .../verilog}/CST/verilog-tree-json.h | 2 +- .../verilog}/CST/verilog-tree-json_test.cc | 8 +- .../verilog}/CST/verilog-tree-print.cc | 18 +- .../verilog}/CST/verilog-tree-print.h | 8 +- .../verilog}/CST/verilog-tree-print_test.cc | 6 +- .../verilog}/CST/verilog-treebuilder-utils.cc | 12 +- .../verilog}/CST/verilog-treebuilder-utils.h | 4 +- .../CST/verilog-treebuilder-utils_test.cc | 6 +- .../CST/verilog_nonterminals_foreach.inc | 2 +- {verilog => verible/verilog}/README.md | 0 {verilog => verible/verilog}/analysis/BUILD | 348 +-- .../verilog}/analysis/README.md | 0 verible/verilog/analysis/checkers/BUILD | 2327 +++++++++++++++++ .../verilog}/analysis/checkers/README.md | 0 .../checkers/always-comb-blocking-rule.cc | 32 +- .../checkers/always-comb-blocking-rule.h | 10 +- .../always-comb-blocking-rule_test.cc | 10 +- .../analysis/checkers/always-comb-rule.cc | 26 +- .../analysis/checkers/always-comb-rule.h | 10 +- .../checkers/always-comb-rule_test.cc | 10 +- .../checkers/always-ff-non-blocking-rule.cc | 32 +- .../checkers/always-ff-non-blocking-rule.h | 10 +- .../always-ff-non-blocking-rule_test.cc | 10 +- .../banned-declared-name-patterns-rule.cc | 20 +- .../banned-declared-name-patterns-rule.h | 12 +- ...banned-declared-name-patterns-rule_test.cc | 10 +- .../checkers/case-missing-default-rule.cc | 26 +- .../checkers/case-missing-default-rule.h | 10 +- .../case-missing-default-rule_test.cc | 10 +- .../checkers/constraint-name-style-rule.cc | 24 +- .../checkers/constraint-name-style-rule.h | 10 +- .../constraint-name-style-rule_test.cc | 10 +- .../checkers/create-object-name-match-rule.cc | 32 +- .../checkers/create-object-name-match-rule.h | 10 +- .../create-object-name-match-rule_test.cc | 10 +- .../analysis/checkers/dff-name-style-rule.cc | 38 +- .../analysis/checkers/dff-name-style-rule.h | 10 +- .../checkers/dff-name-style-rule_test.cc | 10 +- .../checkers/disable-statement-rule.cc | 26 +- .../checkers/disable-statement-rule.h | 10 +- .../checkers/disable-statement-rule_test.cc | 10 +- .../analysis/checkers/endif-comment-rule.cc | 16 +- .../analysis/checkers/endif-comment-rule.h | 8 +- .../checkers/endif-comment-rule_test.cc | 10 +- .../analysis/checkers/enum-name-style-rule.cc | 24 +- .../analysis/checkers/enum-name-style-rule.h | 10 +- .../checkers/enum-name-style-rule_test.cc | 10 +- .../analysis/checkers/explicit-begin-rule.cc | 16 +- .../analysis/checkers/explicit-begin-rule.h | 8 +- .../checkers/explicit-begin-rule_test.cc | 10 +- .../explicit-function-lifetime-rule.cc | 32 +- .../explicit-function-lifetime-rule.h | 10 +- .../explicit-function-lifetime-rule_test.cc | 10 +- ...licit-function-task-parameter-type-rule.cc | 24 +- ...plicit-function-task-parameter-type-rule.h | 10 +- ...-function-task-parameter-type-rule_test.cc | 10 +- .../explicit-parameter-storage-type-rule.cc | 30 +- .../explicit-parameter-storage-type-rule.h | 10 +- ...plicit-parameter-storage-type-rule_test.cc | 10 +- .../checkers/explicit-task-lifetime-rule.cc | 30 +- .../checkers/explicit-task-lifetime-rule.h | 12 +- .../explicit-task-lifetime-rule_test.cc | 10 +- ...forbid-consecutive-null-statements-rule.cc | 16 +- .../forbid-consecutive-null-statements-rule.h | 12 +- ...d-consecutive-null-statements-rule_test.cc | 8 +- .../analysis/checkers/forbid-defparam-rule.cc | 28 +- .../analysis/checkers/forbid-defparam-rule.h | 10 +- .../checkers/forbid-defparam-rule_test.cc | 10 +- .../forbid-line-continuations-rule.cc | 22 +- .../checkers/forbid-line-continuations-rule.h | 10 +- .../forbid-line-continuations-rule_test.cc | 10 +- .../checkers/forbid-negative-array-dim.cc | 28 +- .../checkers/forbid-negative-array-dim.h | 10 +- .../forbid-negative-array-dim_test.cc | 10 +- .../forbidden-anonymous-enums-rule.cc | 20 +- .../checkers/forbidden-anonymous-enums-rule.h | 10 +- .../forbidden-anonymous-enums-rule_test.cc | 10 +- ...forbidden-anonymous-structs-unions-rule.cc | 22 +- .../forbidden-anonymous-structs-unions-rule.h | 10 +- ...dden-anonymous-structs-unions-rule_test.cc | 10 +- .../analysis/checkers/forbidden-macro-rule.cc | 26 +- .../analysis/checkers/forbidden-macro-rule.h | 12 +- .../checkers/forbidden-macro-rule_test.cc | 10 +- .../checkers/forbidden-symbol-rule.cc | 24 +- .../analysis/checkers/forbidden-symbol-rule.h | 12 +- .../checkers/forbidden-symbol-rule_test.cc | 10 +- .../checkers/generate-label-prefix-rule.cc | 26 +- .../checkers/generate-label-prefix-rule.h | 10 +- .../generate-label-prefix-rule_test.cc | 10 +- .../analysis/checkers/generate-label-rule.cc | 20 +- .../analysis/checkers/generate-label-rule.h | 10 +- .../checkers/generate-label-rule_test.cc | 10 +- .../analysis/checkers/instance-shadow-rule.cc | 30 +- .../analysis/checkers/instance-shadow-rule.h | 10 +- .../checkers/instance-shadow-rule_test.cc | 14 +- .../checkers/interface-name-style-rule.cc | 26 +- .../checkers/interface-name-style-rule.h | 10 +- .../interface-name-style-rule_test.cc | 10 +- .../checkers/legacy-generate-region-rule.cc | 24 +- .../checkers/legacy-generate-region-rule.h | 10 +- .../legacy-generate-region-rule_test.cc | 10 +- .../legacy-genvar-declaration-rule.cc | 20 +- .../checkers/legacy-genvar-declaration-rule.h | 10 +- .../legacy-genvar-declaration-rule_test.cc | 10 +- .../analysis/checkers/line-length-rule.cc | 30 +- .../analysis/checkers/line-length-rule.h | 8 +- .../checkers/line-length-rule_test.cc | 12 +- .../checkers/macro-name-style-rule.cc | 18 +- .../analysis/checkers/macro-name-style-rule.h | 8 +- .../checkers/macro-name-style-rule_test.cc | 10 +- .../macro-string-concatenation-rule.cc | 18 +- .../macro-string-concatenation-rule.h | 8 +- .../macro-string-concatenation-rule_test.cc | 10 +- .../checkers/mismatched-labels-rule.cc | 22 +- .../checkers/mismatched-labels-rule.h | 12 +- .../checkers/mismatched-labels-rule_test.cc | 10 +- .../checkers/module-begin-block-rule.cc | 18 +- .../checkers/module-begin-block-rule.h | 10 +- .../checkers/module-begin-block-rule_test.cc | 10 +- .../analysis/checkers/module-filename-rule.cc | 28 +- .../analysis/checkers/module-filename-rule.h | 8 +- .../checkers/module-filename-rule_test.cc | 10 +- .../checkers/module-instantiation-rules.cc | 34 +- .../checkers/module-instantiation-rules.h | 12 +- .../module-instantiation-rules_test.cc | 10 +- .../analysis/checkers/no-tabs-rule.cc | 12 +- .../verilog}/analysis/checkers/no-tabs-rule.h | 6 +- .../analysis/checkers/no-tabs-rule_test.cc | 10 +- .../checkers/no-trailing-spaces-rule.cc | 12 +- .../checkers/no-trailing-spaces-rule.h | 6 +- .../checkers/no-trailing-spaces-rule_test.cc | 10 +- .../numeric-format-string-style-rule.cc | 18 +- .../numeric-format-string-style-rule.h | 8 +- .../numeric-format-string-style-rule_test.cc | 10 +- .../checkers/one-module-per-file-rule.cc | 18 +- .../checkers/one-module-per-file-rule.h | 8 +- .../checkers/one-module-per-file-rule_test.cc | 10 +- .../checkers/package-filename-rule.cc | 20 +- .../analysis/checkers/package-filename-rule.h | 8 +- .../checkers/package-filename-rule_test.cc | 10 +- .../checkers/packed-dimensions-rule.cc | 32 +- .../checkers/packed-dimensions-rule.h | 10 +- .../checkers/packed-dimensions-rule_test.cc | 10 +- .../checkers/parameter-name-style-rule.cc | 26 +- .../checkers/parameter-name-style-rule.h | 10 +- .../parameter-name-style-rule_test.cc | 10 +- .../parameter-type-name-style-rule.cc | 24 +- .../checkers/parameter-type-name-style-rule.h | 10 +- .../parameter-type-name-style-rule_test.cc | 10 +- .../checkers/plusarg-assignment-rule.cc | 22 +- .../checkers/plusarg-assignment-rule.h | 10 +- .../checkers/plusarg-assignment-rule_test.cc | 10 +- .../checkers/port-name-suffix-rule.cc | 24 +- .../analysis/checkers/port-name-suffix-rule.h | 12 +- .../checkers/port-name-suffix-rule_test.cc | 10 +- .../positive-meaning-parameter-name-rule.cc | 22 +- .../positive-meaning-parameter-name-rule.h | 10 +- ...sitive-meaning-parameter-name-rule_test.cc | 10 +- .../analysis/checkers/posix-eof-rule.cc | 14 +- .../analysis/checkers/posix-eof-rule.h | 8 +- .../analysis/checkers/posix-eof-rule_test.cc | 10 +- .../proper-parameter-declaration-rule.cc | 28 +- .../proper-parameter-declaration-rule.h | 10 +- .../proper-parameter-declaration-rule_test.cc | 10 +- .../checkers/signal-name-style-rule.cc | 32 +- .../checkers/signal-name-style-rule.h | 10 +- .../checkers/signal-name-style-rule_test.cc | 10 +- .../checkers/struct-union-name-style-rule.cc | 24 +- .../checkers/struct-union-name-style-rule.h | 10 +- .../struct-union-name-style-rule_test.cc | 10 +- .../checkers/suggest-parentheses-rule.cc | 24 +- .../checkers/suggest-parentheses-rule.h | 10 +- .../checkers/suggest-parentheses-rule_test.cc | 8 +- .../checkers/suspicious-semicolon-rule.cc | 22 +- .../checkers/suspicious-semicolon-rule.h | 10 +- .../suspicious-semicolon-rule_test.cc | 8 +- .../truncated-numeric-literal-rule.cc | 26 +- .../checkers/truncated-numeric-literal-rule.h | 10 +- .../truncated-numeric-literal-rule_test.cc | 10 +- .../undersized-binary-literal-rule.cc | 30 +- .../checkers/undersized-binary-literal-rule.h | 10 +- .../undersized-binary-literal-rule_test.cc | 10 +- .../checkers/unpacked-dimensions-rule.cc | 34 +- .../checkers/unpacked-dimensions-rule.h | 10 +- .../checkers/unpacked-dimensions-rule_test.cc | 10 +- .../checkers/uvm-macro-semicolon-rule.cc | 24 +- .../checkers/uvm-macro-semicolon-rule.h | 12 +- .../checkers/uvm-macro-semicolon-rule_test.cc | 8 +- .../checkers/v2001-generate-begin-rule.cc | 24 +- .../checkers/v2001-generate-begin-rule.h | 10 +- .../v2001-generate-begin-rule_test.cc | 10 +- .../analysis/checkers/void-cast-rule.cc | 30 +- .../analysis/checkers/void-cast-rule.h | 12 +- .../analysis/checkers/void-cast-rule_test.cc | 10 +- .../verilog}/analysis/default-rules.h | 0 .../verilog}/analysis/default-rules_test.cc | 4 +- .../verilog}/analysis/dependencies.cc | 10 +- .../verilog}/analysis/dependencies.h | 6 +- .../verilog}/analysis/dependencies_test.cc | 10 +- .../verilog}/analysis/descriptions.h | 0 .../verilog}/analysis/extractors.cc | 14 +- .../verilog}/analysis/extractors.h | 2 +- .../verilog}/analysis/extractors_test.cc | 4 +- .../verilog}/analysis/flow-tree.cc | 6 +- .../verilog}/analysis/flow-tree.h | 2 +- .../verilog}/analysis/flow-tree_test.cc | 6 +- .../verilog}/analysis/json-diagnostics.cc | 8 +- .../verilog}/analysis/json-diagnostics.h | 2 +- .../analysis/json-diagnostics_test.cc | 6 +- .../verilog}/analysis/lint-rule-registry.cc | 16 +- .../verilog}/analysis/lint-rule-registry.h | 12 +- .../analysis/lint-rule-registry_test.cc | 24 +- .../verilog}/analysis/symbol-table.cc | 64 +- .../verilog}/analysis/symbol-table.h | 10 +- .../verilog}/analysis/symbol-table_test.cc | 16 +- .../verilog}/analysis/verilog-analyzer.cc | 44 +- .../verilog}/analysis/verilog-analyzer.h | 8 +- .../analysis/verilog-analyzer_test.cc | 28 +- .../verilog}/analysis/verilog-equivalence.cc | 20 +- .../verilog}/analysis/verilog-equivalence.h | 4 +- .../analysis/verilog-equivalence_test.cc | 2 +- .../analysis/verilog-excerpt-parse.cc | 10 +- .../verilog}/analysis/verilog-excerpt-parse.h | 4 +- .../verilog}/analysis/verilog-filelist.cc | 6 +- .../verilog}/analysis/verilog-filelist.h | 0 .../analysis/verilog-filelist_test.cc | 4 +- .../analysis/verilog-linter-configuration.cc | 26 +- .../analysis/verilog-linter-configuration.h | 10 +- .../verilog-linter-configuration_test.cc | 34 +- .../analysis/verilog-linter-constants.h | 0 .../verilog}/analysis/verilog-linter.cc | 46 +- .../verilog}/analysis/verilog-linter.h | 22 +- .../verilog}/analysis/verilog-linter_test.cc | 16 +- .../verilog}/analysis/verilog-project.cc | 12 +- .../verilog}/analysis/verilog-project.h | 8 +- .../verilog}/analysis/verilog-project_test.cc | 14 +- verible/verilog/formatting/BUILD | 328 +++ .../verilog}/formatting/README.md | 0 .../verilog}/formatting/align.cc | 42 +- .../verilog}/formatting/align.h | 6 +- .../verilog}/formatting/comment-controls.cc | 26 +- .../verilog}/formatting/comment-controls.h | 6 +- .../formatting/comment-controls_test.cc | 10 +- .../verilog}/formatting/format-style-init.cc | 10 +- .../verilog}/formatting/format-style-init.h | 2 +- .../verilog}/formatting/format-style.h | 4 +- .../formatting/formatter-tuning_test.cc | 8 +- .../verilog}/formatting/formatter.cc | 70 +- .../verilog}/formatting/formatter.h | 8 +- .../verilog}/formatting/formatter_test.cc | 20 +- .../verilog}/formatting/token-annotator.cc | 32 +- .../verilog}/formatting/token-annotator.h | 10 +- .../formatting/token-annotator_test.cc | 34 +- .../verilog}/formatting/tree-unwrapper.cc | 60 +- .../verilog}/formatting/tree-unwrapper.h | 20 +- .../formatting/tree-unwrapper_test.cc | 24 +- .../verilog}/formatting/verilog-token.cc | 6 +- .../verilog}/formatting/verilog-token.h | 2 +- .../verilog}/formatting/verilog-token_test.cc | 8 +- {verilog => verible/verilog}/parser/BUILD | 90 +- {verilog => verible/verilog}/parser/README.md | 0 .../verilog}/parser/verilog-lexer.cc | 6 +- .../verilog}/parser/verilog-lexer.h | 4 +- .../verilog}/parser/verilog-lexer_test.cc | 8 +- .../parser/verilog-lexical-context.cc | 8 +- .../verilog}/parser/verilog-lexical-context.h | 6 +- .../parser/verilog-lexical-context_test.cc | 16 +- .../verilog}/parser/verilog-parser.cc | 6 +- .../verilog}/parser/verilog-parser.h | 4 +- .../verilog}/parser/verilog-parser_test.cc | 26 +- .../parser/verilog-token-classifications.cc | 4 +- .../parser/verilog-token-classifications.h | 2 +- .../verilog-token-classifications_test.cc | 4 +- .../verilog}/parser/verilog-token.cc | 6 +- .../verilog}/parser/verilog-token.h | 0 .../verilog}/parser/verilog.lex | 4 +- {verilog => verible/verilog}/parser/verilog.y | 32 +- verible/verilog/preprocessor/BUILD | 60 + .../verilog}/preprocessor/README.md | 0 .../preprocessor/verilog-preprocess.cc | 28 +- .../preprocessor/verilog-preprocess.h | 10 +- .../preprocessor/verilog-preprocess_test.cc | 24 +- {verilog => verible/verilog}/tools/README.md | 0 {verilog => verible/verilog}/tools/diff/BUILD | 10 +- .../verilog}/tools/diff/README.md | 0 .../tools/diff/diff_format_lex_error_test.sh | 0 .../tools/diff/diff_format_match_test.sh | 0 .../tools/diff/diff_format_mismatch_test.sh | 0 .../tools/diff/diff_obfuscate_match_test.sh | 0 .../diff/diff_obfuscate_mismatch_test.sh | 0 .../tools/diff/diff_user_errors_test.sh | 0 .../verilog}/tools/diff/verilog-diff.cc | 10 +- .../verilog}/tools/formatter/BUILD | 26 +- .../verilog}/tools/formatter/README.md | 0 .../tools/formatter/diff-to-changed-lines.awk | 0 .../tools/formatter/diff_formatter.sh | 0 .../formatter/format_file_badlines_test.sh | 0 .../tools/formatter/format_file_check_test.sh | 0 .../formatter/format_file_lex_error_test.sh | 0 .../tools/formatter/format_file_lines_test.sh | 0 .../format_file_syntax_error_test.sh | 0 .../tools/formatter/format_file_test.sh | 0 .../tools/formatter/format_inplace_test.sh | 0 .../formatter/format_stdin_inplace_test.sh | 0 .../tools/formatter/format_stdin_test.sh | 0 .../formatter/git-verible-verilog-format.sh | 0 .../tools/formatter/triage_formatter.sh | 0 ...erilog-format-changed-lines-interactive.sh | 0 .../tools/formatter/verilog-format.cc | 16 +- .../verilog}/tools/kythe/BUILD | 110 +- .../verilog}/tools/kythe/README.md | 0 .../tools/kythe/indexing-facts-tree-context.h | 6 +- .../kythe/indexing-facts-tree-extractor.cc | 56 +- .../kythe/indexing-facts-tree-extractor.h | 4 +- .../indexing-facts-tree-extractor_test.cc | 16 +- .../tools/kythe/indexing-facts-tree.cc | 4 +- .../tools/kythe/indexing-facts-tree.h | 6 +- .../tools/kythe/indexing-facts-tree_test.cc | 6 +- .../tools/kythe/kythe-facts-extractor.cc | 20 +- .../tools/kythe/kythe-facts-extractor.h | 6 +- .../verilog}/tools/kythe/kythe-facts.cc | 4 +- .../verilog}/tools/kythe/kythe-facts.h | 0 .../verilog}/tools/kythe/kythe-facts_test.cc | 2 +- .../tools/kythe/kythe-proto-output.cc | 4 +- .../verilog}/tools/kythe/kythe-proto-output.h | 4 +- .../tools/kythe/kythe-schema-constants.h | 0 .../verilog}/tools/kythe/kzip-creator.cc | 8 +- .../verilog}/tools/kythe/kzip-creator.h | 2 +- .../verilog}/tools/kythe/scope-resolver.cc | 6 +- .../verilog}/tools/kythe/scope-resolver.h | 2 +- .../tools/kythe/scope-resolver_test.cc | 4 +- .../verilog}/tools/kythe/testdata/array.sv | 0 .../verilog}/tools/kythe/testdata/case.sv | 0 .../verilog}/tools/kythe/testdata/class.sv | 0 .../tools/kythe/testdata/class_constructor.sv | 0 .../tools/kythe/testdata/class_extends.sv | 0 .../testdata/class_extends_with_package.sv | 0 .../tools/kythe/testdata/class_member.sv | 0 .../tools/kythe/testdata/class_parameter.sv | 0 .../kythe/testdata/conditional_generate.sv | 0 .../tools/kythe/testdata/declarations.sv | 0 .../testdata/different_primitive_types.sv | 0 .../tools/kythe/testdata/do_while_loop.sv | 0 .../verilog}/tools/kythe/testdata/enum.sv | 0 .../tools/kythe/testdata/event_control.sv | 0 .../verilog}/tools/kythe/testdata/final.sv | 0 .../verilog}/tools/kythe/testdata/for_loop.sv | 0 .../tools/kythe/testdata/for_loop_uint.sv | 0 .../verilog}/tools/kythe/testdata/foreach.sv | 0 .../verilog}/tools/kythe/testdata/forever.sv | 0 .../tools/kythe/testdata/function_and_task.sv | 0 .../kythe/testdata/function_call_hierarchy.sv | 0 .../testdata/function_call_inside_loop.sv | 0 .../kythe/testdata/function_named_args.sv | 0 .../kythe/testdata/function_overrides.sv | 0 .../testdata/function_port_dimensions.sv | 0 .../tools/kythe/testdata/function_scoping.sv | 0 .../testdata/function_user_defined_port.sv | 0 .../tools/kythe/testdata/if_condition.sv | 0 .../kythe/testdata/include_file_test/A.svh | 0 .../kythe/testdata/include_file_test/B.svh | 0 .../kythe/testdata/include_file_test/C.sv | 0 .../testdata/include_file_test/file_list.txt | 0 .../include_file_test/included-file-1.svh | 0 .../include_file_test/included-file-2.svh | 0 .../testdata/include_file_test/module.sv | 0 .../testdata/include_file_test/module2.sv | 0 .../include_file_test/package_with_include.sv | 0 .../package_with_include_class.svh | 0 .../kythe/testdata/include_with_dir_test/E.sv | 0 .../include_with_dir_test/file_list.txt | 0 .../include_with_dir_test/include_dir/D.svh | 0 .../testdata/include_with_dir_test/module3.sv | 0 .../testdata/include_with_dir_test/module4.sv | 0 .../tools/kythe/testdata/initial_block.sv | 0 .../tools/kythe/testdata/interface.sv | 0 .../kythe/testdata/interface_with_module.sv | 0 .../testdata/interface_with_parameter.sv | 0 .../verilog}/tools/kythe/testdata/macro.sv | 0 .../tools/kythe/testdata/macro_call_test.sv | 0 .../kythe/testdata/macro_with_function.sv | 0 .../verilog}/tools/kythe/testdata/module.sv | 0 .../module_actual_and_implicit_port.sv | 0 .../tools/kythe/testdata/module_extra_test.sv | 0 ...module_instance_in_conditional_generate.sv | 0 .../tools/kythe/testdata/module_parameter.sv | 0 .../testdata/module_port_type_forwarding.sv | 0 .../kythe/testdata/module_with_same_prefix.sv | 0 .../testdata/multi_file_test/file_list.txt | 0 .../testdata/multi_file_test/multi-file-1.sv | 0 .../testdata/multi_file_test/multi-file-2.sv | 0 .../testdata/multi_file_test/multi-file-3.sv | 0 .../testdata/multi_file_test/multi-file-4.sv | 0 .../kythe/testdata/nested_member_access.sv | 0 .../verilog}/tools/kythe/testdata/package.sv | 0 .../kythe/testdata/package_with_primitive.sv | 0 .../primitive_type_with_dimensions.sv | 0 .../tools/kythe/testdata/primitive_types.sv | 0 .../verilog}/tools/kythe/testdata/program.sv | 0 .../propagate_data_type_module_port.sv | 0 .../kythe/testdata/pure_virtual_function.sv | 0 .../tools/kythe/testdata/pure_virtual_task.sv | 0 .../kythe/testdata/qualified_variable_type.sv | 0 .../verilog}/tools/kythe/testdata/repeat.sv | 0 .../kythe/testdata/reversed_definition.sv | 0 .../verilog}/tools/kythe/testdata/struct.sv | 0 .../struct_declaration_function_argument.sv | 0 .../kythe/testdata/struct_inside_union.sv | 0 .../tools/kythe/testdata/task_scoping.sv | 0 .../tools/kythe/testdata/type_declaration.sv | 0 .../verilog}/tools/kythe/testdata/union.sv | 0 .../kythe/testdata/union_inside_struct.sv | 0 .../tools/kythe/testdata/while_loop.sv | 0 .../verilog}/tools/kythe/verification_test.sh | 0 .../verilog-extractor-indexing-fact-type.cc | 4 +- .../verilog-extractor-indexing-fact-type.h | 0 .../tools/kythe/verilog-kythe-extractor.cc | 22 +- .../tools/kythe/verilog-kythe-kzip-writer.cc | 10 +- ...g_extractor_indexing_fact_type_foreach.inc | 2 +- .../kythe/verilog_kythe_extractor_test.sh | 0 {verilog => verible/verilog}/tools/lint/BUILD | 16 +- .../verilog}/tools/lint/README.md | 0 .../verilog}/tools/lint/lint_tool_test.sh | 0 .../verilog}/tools/lint/show_line_col.sh | 0 .../lint/testdata/always_comb_blocking.sv | 0 .../tools/lint/testdata/always_comb_module.sv | 0 .../lint/testdata/always_ff_non_blocking.sv | 0 .../tools/lint/testdata/bad-id-lex.sv | 0 .../testdata/banned_declared_name_patterns.sv | 0 .../lint/testdata/case_missing_default.sv | 0 .../lint/testdata/constraint_name_style.sv | 0 .../tools/lint/testdata/defparam_usage.sv | 0 .../tools/lint/testdata/dff_name_style.sv | 0 .../tools/lint/testdata/disable_statement.sv | 0 .../tools/lint/testdata/endif_comment.sv | 0 .../tools/lint/testdata/enum_name_style.sv | 0 .../tools/lint/testdata/explicit_begin.sv | 0 .../testdata/explicit_function_lifetime.sv | 0 .../explicit_function_parameter_type.sv | 0 .../explicit_parameter_storage_type.sv | 0 .../lint/testdata/explicit_task_lifetime.sv | 0 .../testdata/explicit_task_parameter_type.sv | 0 .../forbid_consecutive_null_statements.sv | 0 .../testdata/forbid_line_continuations.sv | 0 .../testdata/forbid_negative_array_dim.sv | 0 .../testdata/generate-label-module-body.sv | 0 .../lint/testdata/generate_begin_module.sv | 0 .../lint/testdata/generate_label_module.sv | 0 .../lint/testdata/generate_label_prefix.sv | 0 .../testdata/instance-ports-module-body.sv | 0 .../lint/testdata/instance_parameters.sv | 0 .../tools/lint/testdata/instance_ports.sv | 0 .../testdata/interface_type_name_style.sv | 0 .../lint/testdata/legacy_generate_region.sv | 0 .../testdata/legacy_genvar_declaration.sv | 0 .../testdata/line-length-in-module-body.sv | 0 .../lint/testdata/localparam_name_style.sv | 0 .../localparam_name_style_all_caps.sv | 0 .../localparam_name_style_camel_case.sv | 0 .../testdata/localparam_type_name_style.sv | 0 .../verilog}/tools/lint/testdata/long_line.sv | 0 .../tools/lint/testdata/macro_name_style.sv | 0 .../testdata/macro_string_concatenation.sv | 0 .../tools/lint/testdata/mismatched_labels.sv | 0 .../tools/lint/testdata/module_begin_block.sv | 0 .../tools/lint/testdata/module_filename.sv | 0 .../testdata/numeric_format_string_style.sv | 0 .../lint/testdata/object_creation_name.sv | 0 .../lint/testdata/one_module_per_file.sv | 0 .../lint/testdata/package_filename_pkg.sv | 0 .../tools/lint/testdata/packed_dimensions.sv | 0 .../lint/testdata/parameter_name_style.sv | 0 .../testdata/parameter_type_name_style.sv | 0 .../tools/lint/testdata/plusarg_assignment.sv | 0 .../tools/lint/testdata/port_name_suffix.sv | 0 .../positive_meaning_parameter_name.sv | 0 .../verilog}/tools/lint/testdata/posix_eof.sv | 0 .../testdata/proper_localparam_declaration.sv | 0 .../testdata/proper_parameter_declaration.sv | 0 .../verilog}/tools/lint/testdata/psprintf.sv | 0 .../tools/lint/testdata/shadow_parameter.sv | 0 .../tools/lint/testdata/signal_name_style.sv | 0 .../tools/lint/testdata/struct_name_style.sv | 0 .../testdata/suggest_parentheses_example.sv | 0 .../lint/testdata/suspicious_semicolon.sv | 0 .../verilog}/tools/lint/testdata/tabs.sv | 0 .../tools/lint/testdata/trailing_spaces.sv | 0 .../testdata/truncated_numeric_literal.sv | 0 .../tools/lint/testdata/typedef_enums.sv | 0 .../tools/lint/testdata/typedef_structs.sv | 0 .../tools/lint/testdata/typedef_unions.sv | 0 .../testdata/undersized_binary_literal.sv | 0 .../tools/lint/testdata/union_name_style.sv | 0 .../lint/testdata/unpacked_dimensions.sv | 0 .../lint/testdata/uvm_macro_semicolon.sv | 0 .../tools/lint/testdata/uvm_warning.sv | 0 .../verilog}/tools/lint/testdata/void-cast.sv | 0 .../verilog}/tools/lint/verilog-lint.cc | 16 +- .../tools/lint/verilog_style_lint.bzl | 6 +- verible/verilog/tools/ls/BUILD | 317 +++ .../verilog}/tools/ls/README.md | 0 .../verilog}/tools/ls/autoexpand.cc | 48 +- .../verilog}/tools/ls/autoexpand.h | 6 +- .../verilog}/tools/ls/autoexpand_test.cc | 22 +- .../tools/ls/document-symbol-filler.cc | 28 +- .../tools/ls/document-symbol-filler.h | 8 +- .../verilog}/tools/ls/hover.cc | 32 +- {verilog => verible/verilog}/tools/ls/hover.h | 6 +- .../verilog}/tools/ls/lsp-conversion.h | 4 +- .../verilog}/tools/ls/lsp-parse-buffer.cc | 16 +- .../verilog}/tools/ls/lsp-parse-buffer.h | 8 +- .../tools/ls/lsp-parse-buffer_test.cc | 6 +- .../verilog}/tools/ls/symbol-table-handler.cc | 34 +- .../verilog}/tools/ls/symbol-table-handler.h | 16 +- .../tools/ls/symbol-table-handler_test.cc | 14 +- .../verilog}/tools/ls/verible-lsp-adapter.cc | 40 +- .../verilog}/tools/ls/verible-lsp-adapter.h | 6 +- .../verilog}/tools/ls/verible-verilog-ls.cc | 4 +- .../tools/ls/verible-verilog-ls_test.sh | 0 .../tools/ls/verilog-language-server.cc | 22 +- .../tools/ls/verilog-language-server.h | 12 +- .../tools/ls/verilog-language-server_test.cc | 14 +- .../verilog}/tools/ls/vscode/.gitignore | 0 .../verilog}/tools/ls/vscode/LICENSE | 0 .../verilog}/tools/ls/vscode/README.md | 0 .../verilog}/tools/ls/vscode/images/icon.png | Bin .../verilog}/tools/ls/vscode/package.json | 0 .../tools/ls/vscode/src/download-ls.ts | 0 .../verilog}/tools/ls/vscode/src/extension.ts | 0 .../verilog}/tools/ls/vscode/tsconfig.json | 0 .../tools/ls/vscode/webpack.config.js | 0 .../verilog}/tools/obfuscator/BUILD | 16 +- .../verilog}/tools/obfuscator/README.md | 0 .../tools/obfuscator/obfuscate_test.sh | 0 .../tools/obfuscator/verilog-obfuscate.cc | 12 +- .../verilog}/tools/preprocessor/BUILD | 22 +- .../verilog}/tools/preprocessor/README.md | 0 .../preprocessor/verilog-preprocessor.cc | 22 +- .../preprocessor/verilog_preprocessor_test.sh | 0 .../verilog}/tools/project/BUILD | 16 +- .../verilog}/tools/project/README.md | 0 .../verilog}/tools/project/project-tool.cc | 16 +- .../tools/project/project_tool_test.sh | 0 .../verilog}/tools/syntax/BUILD | 40 +- .../verilog}/tools/syntax/README.md | 0 .../syntax/export_json_examples/BUILD.example | 14 +- .../syntax/export_json_examples/README.md | 0 .../export_json_examples/print_modules.py | 0 .../syntax/export_json_examples/print_tree.py | 0 .../verible_verilog_syntax.py | 0 .../verible_verilog_syntax_test.py | 0 .../verilog}/tools/syntax/triage_parser.sh | 0 .../verilog}/tools/syntax/verilog-syntax.cc | 40 +- .../tools/syntax/verilog_syntax_test.sh | 0 {verilog => verible/verilog}/transform/BUILD | 40 +- .../verilog}/transform/obfuscate.cc | 18 +- .../verilog}/transform/obfuscate.h | 2 +- .../verilog}/transform/obfuscate_test.cc | 4 +- .../verilog}/transform/strip-comments.cc | 20 +- .../verilog}/transform/strip-comments.h | 0 .../verilog}/transform/strip-comments_test.cc | 2 +- verilog/CST/BUILD | 960 ------- verilog/analysis/checkers/BUILD | 2327 ----------------- verilog/formatting/BUILD | 328 --- verilog/preprocessor/BUILD | 60 - verilog/tools/ls/BUILD | 317 --- 989 files changed, 8776 insertions(+), 8775 deletions(-) rename {common => verible/common}/README.md (100%) rename {common => verible/common}/analysis/BUILD (62%) rename {common => verible/common}/analysis/README.md (100%) rename {common => verible/common}/analysis/citation.cc (94%) rename {common => verible/common}/analysis/citation.h (100%) rename {common => verible/common}/analysis/command-file-lexer.cc (88%) rename {common => verible/common}/analysis/command-file-lexer.h (93%) rename {common => verible/common}/analysis/command-file-lexer_test.cc (91%) rename {common => verible/common}/analysis/command_file.lex (98%) rename {common => verible/common}/analysis/file-analyzer.cc (92%) rename {common => verible/common}/analysis/file-analyzer.h (94%) rename {common => verible/common}/analysis/file-analyzer_test.cc (98%) rename {common => verible/common}/analysis/line-lint-rule.h (96%) rename {common => verible/common}/analysis/line-linter-test-utils.h (82%) rename {common => verible/common}/analysis/line-linter.cc (87%) rename {common => verible/common}/analysis/line-linter.h (93%) rename {common => verible/common}/analysis/line-linter_test.cc (95%) rename {common => verible/common}/analysis/lint-rule-status.cc (94%) rename {common => verible/common}/analysis/lint-rule-status.h (97%) rename {common => verible/common}/analysis/lint-rule-status_test.cc (98%) rename {common => verible/common}/analysis/lint-rule.h (97%) rename {common => verible/common}/analysis/lint-waiver.cc (96%) rename {common => verible/common}/analysis/lint-waiver.h (97%) rename {common => verible/common}/analysis/lint-waiver_test.cc (99%) rename {common => verible/common}/analysis/linter-test-utils.cc (94%) rename {common => verible/common}/analysis/linter-test-utils.h (97%) rename {common => verible/common}/analysis/linter-test-utils_test.cc (97%) rename {common => verible/common}/analysis/matcher/BUILD (59%) rename {common => verible/common}/analysis/matcher/README.md (100%) rename {common => verible/common}/analysis/matcher/bound-symbol-manager.cc (86%) rename {common => verible/common}/analysis/matcher/bound-symbol-manager.h (96%) rename {common => verible/common}/analysis/matcher/core-matchers.h (97%) rename {common => verible/common}/analysis/matcher/core-matchers_test.cc (96%) rename {common => verible/common}/analysis/matcher/descent-path.cc (94%) rename {common => verible/common}/analysis/matcher/descent-path.h (97%) rename {common => verible/common}/analysis/matcher/descent-path_test.cc (91%) rename {common => verible/common}/analysis/matcher/inner-match-handlers.cc (92%) rename {common => verible/common}/analysis/matcher/inner-match-handlers.h (94%) rename {common => verible/common}/analysis/matcher/matcher-builders.h (97%) rename {common => verible/common}/analysis/matcher/matcher-builders_test.cc (94%) rename {common => verible/common}/analysis/matcher/matcher-test-utils.cc (86%) rename {common => verible/common}/analysis/matcher/matcher-test-utils.h (94%) rename {common => verible/common}/analysis/matcher/matcher.cc (91%) rename {common => verible/common}/analysis/matcher/matcher.h (97%) rename {common => verible/common}/analysis/matcher/matcher_test.cc (93%) rename {common => verible/common}/analysis/syntax-tree-lint-rule.h (88%) rename {common => verible/common}/analysis/syntax-tree-linter-test-utils.h (81%) rename {common => verible/common}/analysis/syntax-tree-linter.cc (82%) rename {common => verible/common}/analysis/syntax-tree-linter.h (87%) rename {common => verible/common}/analysis/syntax-tree-linter_test.cc (94%) rename {common => verible/common}/analysis/syntax-tree-search-test-utils.cc (93%) rename {common => verible/common}/analysis/syntax-tree-search-test-utils.h (93%) rename {common => verible/common}/analysis/syntax-tree-search-test-utils_test.cc (97%) rename {common => verible/common}/analysis/syntax-tree-search.cc (87%) rename {common => verible/common}/analysis/syntax-tree-search.h (93%) rename {common => verible/common}/analysis/syntax-tree-search_test.cc (93%) rename {common => verible/common}/analysis/text-structure-lint-rule.h (95%) rename {common => verible/common}/analysis/text-structure-linter-test-utils.h (82%) rename {common => verible/common}/analysis/text-structure-linter.cc (83%) rename {common => verible/common}/analysis/text-structure-linter.h (91%) rename {common => verible/common}/analysis/text-structure-linter_test.cc (91%) rename {common => verible/common}/analysis/token-stream-lint-rule.h (93%) rename {common => verible/common}/analysis/token-stream-linter-test-utils.h (82%) rename {common => verible/common}/analysis/token-stream-linter.cc (82%) rename {common => verible/common}/analysis/token-stream-linter.h (90%) rename {common => verible/common}/analysis/token-stream-linter_test.cc (91%) rename {common => verible/common}/analysis/violation-handler.cc (97%) rename {common => verible/common}/analysis/violation-handler.h (99%) rename {common => verible/common}/formatting/BUILD (56%) rename {common => verible/common}/formatting/README.md (100%) rename {common => verible/common}/formatting/align.cc (98%) rename {common => verible/common}/formatting/align.h (97%) rename {common => verible/common}/formatting/align_test.cc (98%) rename {common => verible/common}/formatting/basic-format-style-init.cc (94%) rename {common => verible/common}/formatting/basic-format-style-init.h (94%) rename {common => verible/common}/formatting/basic-format-style.cc (93%) rename {common => verible/common}/formatting/basic-format-style.h (100%) rename {common => verible/common}/formatting/basic-format-style_test.cc (95%) rename {common => verible/common}/formatting/format-token.cc (95%) rename {common => verible/common}/formatting/format-token.h (97%) rename {common => verible/common}/formatting/format-token_test.cc (98%) rename {common => verible/common}/formatting/layout-optimizer-internal.h (98%) rename {common => verible/common}/formatting/layout-optimizer.cc (98%) rename {common => verible/common}/formatting/layout-optimizer.h (91%) rename {common => verible/common}/formatting/layout-optimizer_test.cc (99%) rename {common => verible/common}/formatting/line-wrap-searcher.cc (95%) rename {common => verible/common}/formatting/line-wrap-searcher.h (95%) rename {common => verible/common}/formatting/line-wrap-searcher_test.cc (98%) rename {common => verible/common}/formatting/state-node.cc (97%) rename {common => verible/common}/formatting/state-node.h (97%) rename {common => verible/common}/formatting/state-node_test.cc (99%) rename {common => verible/common}/formatting/token-partition-tree-test-utils.cc (88%) rename {common => verible/common}/formatting/token-partition-tree-test-utils.h (96%) rename {common => verible/common}/formatting/token-partition-tree.cc (97%) rename {common => verible/common}/formatting/token-partition-tree.h (96%) rename {common => verible/common}/formatting/token-partition-tree_test.cc (99%) rename {common => verible/common}/formatting/tree-annotator.cc (93%) rename {common => verible/common}/formatting/tree-annotator.h (88%) rename {common => verible/common}/formatting/tree-annotator_test.cc (95%) rename {common => verible/common}/formatting/tree-unwrapper.cc (95%) rename {common => verible/common}/formatting/tree-unwrapper.h (95%) rename {common => verible/common}/formatting/tree-unwrapper_test.cc (90%) rename {common => verible/common}/formatting/unwrapped-line-test-utils.cc (91%) rename {common => verible/common}/formatting/unwrapped-line-test-utils.h (93%) rename {common => verible/common}/formatting/unwrapped-line.cc (91%) rename {common => verible/common}/formatting/unwrapped-line.h (98%) rename {common => verible/common}/formatting/unwrapped-line_test.cc (98%) rename {common => verible/common}/formatting/verification.cc (93%) rename {common => verible/common}/formatting/verification.h (96%) rename {common => verible/common}/formatting/verification_test.cc (93%) rename {common => verible/common}/lexer/BUILD (67%) rename {common => verible/common}/lexer/README.md (100%) rename {common => verible/common}/lexer/flex-lexer-adapter.h (98%) rename {common => verible/common}/lexer/lexer-test-util.cc (89%) rename {common => verible/common}/lexer/lexer-test-util.h (98%) rename {common => verible/common}/lexer/lexer-test-util_test.cc (95%) rename {common => verible/common}/lexer/lexer.h (97%) rename {common => verible/common}/lexer/token-generator.h (96%) rename {common => verible/common}/lexer/token-stream-adapter.cc (87%) rename {common => verible/common}/lexer/token-stream-adapter.h (93%) rename {common => verible/common}/lexer/token-stream-adapter_test.cc (92%) rename {common => verible/common}/lsp/BUILD (96%) rename {common => verible/common}/lsp/dummy-ls.cc (95%) rename {common => verible/common}/lsp/dummy-ls_test.sh (100%) rename {common => verible/common}/lsp/jcxxgen-testfile.yaml (100%) rename {common => verible/common}/lsp/jcxxgen.cc (99%) rename {common => verible/common}/lsp/jcxxgen_test.cc (98%) rename {common => verible/common}/lsp/json-rpc-dispatcher.cc (98%) rename {common => verible/common}/lsp/json-rpc-dispatcher.h (100%) rename {common => verible/common}/lsp/json-rpc-dispatcher_test.cc (99%) rename {common => verible/common}/lsp/json-rpc-expect.cc (99%) rename {common => verible/common}/lsp/lsp-file-utils.cc (98%) rename {common => verible/common}/lsp/lsp-file-utils.h (100%) rename {common => verible/common}/lsp/lsp-file-utils_test.cc (98%) rename {common => verible/common}/lsp/lsp-protocol-enums.h (100%) rename {common => verible/common}/lsp/lsp-protocol-operators.h (97%) rename {common => verible/common}/lsp/lsp-protocol-operators_test.cc (98%) rename {common => verible/common}/lsp/lsp-protocol.yaml (100%) rename {common => verible/common}/lsp/lsp-text-buffer.cc (97%) rename {common => verible/common}/lsp/lsp-text-buffer.h (98%) rename {common => verible/common}/lsp/lsp-text-buffer_test.cc (98%) rename {common => verible/common}/lsp/message-stream-splitter.cc (98%) rename {common => verible/common}/lsp/message-stream-splitter.h (100%) rename {common => verible/common}/lsp/message-stream-splitter_test.cc (99%) rename {common => verible/common}/parser/BUILD (52%) rename {common => verible/common}/parser/README.md (100%) rename {common => verible/common}/parser/bison-parser-adapter.h (90%) rename {common => verible/common}/parser/bison-parser-common.cc (84%) rename {common => verible/common}/parser/bison-parser-common.h (97%) rename {common => verible/common}/parser/bison-parser-common_test.cc (81%) rename {common => verible/common}/parser/parse.h (93%) rename {common => verible/common}/parser/parser-param.cc (87%) rename {common => verible/common}/parser/parser-param.h (96%) rename {common => verible/common}/parser/parser-test-util.h (95%) rename {common => verible/common}/parser/yacc.bzl (100%) rename {common => verible/common}/strings/BUILD (82%) rename {common => verible/common}/strings/README.md (100%) rename {common => verible/common}/strings/comment-utils.cc (96%) rename {common => verible/common}/strings/comment-utils.h (100%) rename {common => verible/common}/strings/comment-utils_test.cc (97%) rename {common => verible/common}/strings/compare.h (100%) rename {common => verible/common}/strings/compare_test.cc (98%) rename {common => verible/common}/strings/diff.cc (97%) rename {common => verible/common}/strings/diff.h (98%) rename {common => verible/common}/strings/diff_test.cc (99%) rename {common => verible/common}/strings/display-utils.cc (98%) rename {common => verible/common}/strings/display-utils.h (100%) rename {common => verible/common}/strings/display-utils_test.cc (98%) rename {common => verible/common}/strings/line-column-map.cc (97%) rename {common => verible/common}/strings/line-column-map.h (100%) rename {common => verible/common}/strings/line-column-map_test.cc (99%) rename {common => verible/common}/strings/mem-block.h (100%) rename {common => verible/common}/strings/naming-utils.cc (97%) rename {common => verible/common}/strings/naming-utils.h (100%) rename {common => verible/common}/strings/naming-utils_test.cc (98%) rename {common => verible/common}/strings/obfuscator.cc (96%) rename {common => verible/common}/strings/obfuscator.h (97%) rename {common => verible/common}/strings/obfuscator_test.cc (97%) rename {common => verible/common}/strings/patch.cc (97%) rename {common => verible/common}/strings/patch.h (98%) rename {common => verible/common}/strings/patch_test.cc (99%) rename {common => verible/common}/strings/position.cc (96%) rename {common => verible/common}/strings/position.h (96%) rename {common => verible/common}/strings/position_test.cc (98%) rename {common => verible/common}/strings/random.cc (88%) rename {common => verible/common}/strings/random.h (100%) rename {common => verible/common}/strings/random_test.cc (97%) rename {common => verible/common}/strings/range.cc (90%) rename {common => verible/common}/strings/range.h (100%) rename {common => verible/common}/strings/range_test.cc (97%) rename {common => verible/common}/strings/rebase.cc (92%) rename {common => verible/common}/strings/rebase.h (100%) rename {common => verible/common}/strings/rebase_test.cc (98%) rename {common => verible/common}/strings/split.cc (97%) rename {common => verible/common}/strings/split.h (100%) rename {common => verible/common}/strings/split_test.cc (98%) rename {common => verible/common}/strings/string-memory-map.h (97%) rename {common => verible/common}/strings/string-memory-map_test.cc (97%) rename {common => verible/common}/strings/utf8.h (100%) rename {common => verible/common}/strings/utf8_test.cc (98%) rename {common => verible/common}/text/BUILD (80%) rename {common => verible/common}/text/README.md (100%) rename {common => verible/common}/text/concrete-syntax-leaf.cc (85%) rename {common => verible/common}/text/concrete-syntax-leaf.h (93%) rename {common => verible/common}/text/concrete-syntax-leaf_test.cc (93%) rename {common => verible/common}/text/concrete-syntax-tree.cc (92%) rename {common => verible/common}/text/concrete-syntax-tree.h (96%) rename {common => verible/common}/text/concrete-syntax-tree_test.cc (97%) rename {common => verible/common}/text/config-utils.cc (98%) rename {common => verible/common}/text/config-utils.h (100%) rename {common => verible/common}/text/config-utils_test.cc (99%) rename {common => verible/common}/text/constants.h (100%) rename {common => verible/common}/text/macro-definition.cc (96%) rename {common => verible/common}/text/macro-definition.h (99%) rename {common => verible/common}/text/macro-definition_test.cc (98%) rename {common => verible/common}/text/parser-verifier.cc (87%) rename {common => verible/common}/text/parser-verifier.h (88%) rename {common => verible/common}/text/parser-verifier_test.cc (94%) rename {common => verible/common}/text/symbol-ptr.h (100%) rename {common => verible/common}/text/symbol.cc (95%) rename {common => verible/common}/text/symbol.h (94%) rename {common => verible/common}/text/syntax-tree-context.h (96%) rename {common => verible/common}/text/syntax-tree-context_test.cc (98%) rename {common => verible/common}/text/text-structure-test-utils.cc (91%) rename {common => verible/common}/text/text-structure-test-utils.h (94%) rename {common => verible/common}/text/text-structure.cc (97%) rename {common => verible/common}/text/text-structure.h (97%) rename {common => verible/common}/text/text-structure_test.cc (97%) rename {common => verible/common}/text/token-info-json.cc (92%) rename {common => verible/common}/text/token-info-json.h (95%) rename {common => verible/common}/text/token-info-json_test.cc (94%) rename {common => verible/common}/text/token-info-test-util.cc (96%) rename {common => verible/common}/text/token-info-test-util.h (99%) rename {common => verible/common}/text/token-info-test-util_test.cc (98%) rename {common => verible/common}/text/token-info.cc (93%) rename {common => verible/common}/text/token-info.h (98%) rename {common => verible/common}/text/token-info_test.cc (99%) rename {common => verible/common}/text/token-stream-view.cc (93%) rename {common => verible/common}/text/token-stream-view.h (96%) rename {common => verible/common}/text/token-stream-view_test.cc (93%) rename {common => verible/common}/text/tree-builder-test-util.cc (87%) rename {common => verible/common}/text/tree-builder-test-util.h (94%) rename {common => verible/common}/text/tree-builder-test-util_test.cc (97%) rename {common => verible/common}/text/tree-compare.cc (92%) rename {common => verible/common}/text/tree-compare.h (95%) rename {common => verible/common}/text/tree-compare_test.cc (98%) rename {common => verible/common}/text/tree-context-visitor.cc (90%) rename {common => verible/common}/text/tree-context-visitor.h (96%) rename {common => verible/common}/text/tree-context-visitor_test.cc (97%) rename {common => verible/common}/text/tree-utils.cc (96%) rename {common => verible/common}/text/tree-utils.h (97%) rename {common => verible/common}/text/tree-utils_test.cc (99%) rename {common => verible/common}/text/visitors.h (98%) rename {common => verible/common}/tools/BUILD (83%) rename {common => verible/common}/tools/README.md (100%) rename {common => verible/common}/tools/patch-tool.cc (96%) rename {common => verible/common}/tools/patch_tool_test.sh (100%) rename {common => verible/common}/tools/verible-transform-interactive-test.sh (100%) rename {common => verible/common}/tools/verible-transform-interactive.sh (100%) rename {common => verible/common}/util/BUILD (98%) rename {common => verible/common}/util/README.md (100%) rename {common => verible/common}/util/algorithm.h (100%) rename {common => verible/common}/util/algorithm_test.cc (99%) rename {common => verible/common}/util/auto-iterator.h (100%) rename {common => verible/common}/util/auto-iterator_test.cc (97%) rename {common => verible/common}/util/auto-pop-stack.h (98%) rename {common => verible/common}/util/auto-pop-stack_test.cc (95%) rename {common => verible/common}/util/bijective-map.h (99%) rename {common => verible/common}/util/bijective-map_test.cc (97%) rename {common => verible/common}/util/casts.h (100%) rename {common => verible/common}/util/container-iterator-range.h (98%) rename {common => verible/common}/util/container-iterator-range_test.cc (99%) rename {common => verible/common}/util/container-proxy.h (100%) rename {common => verible/common}/util/container-proxy_test.cc (99%) rename {common => verible/common}/util/container-util.h (98%) rename {common => verible/common}/util/enum-flags.h (98%) rename {common => verible/common}/util/enum-flags_test.cc (98%) rename {common => verible/common}/util/expandable-tree-view.h (98%) rename {common => verible/common}/util/expandable-tree-view_test.cc (98%) rename {common => verible/common}/util/file-util.cc (98%) rename {common => verible/common}/util/file-util.h (99%) rename {common => verible/common}/util/file-util_test.cc (99%) rename {common => verible/common}/util/forward.h (100%) rename {common => verible/common}/util/forward_test.cc (98%) rename {common => verible/common}/util/init-command-line.cc (96%) rename {common => verible/common}/util/init-command-line.h (100%) rename {common => verible/common}/util/interval-map.h (98%) rename {common => verible/common}/util/interval-map_test.cc (99%) rename {common => verible/common}/util/interval-set.h (99%) rename {common => verible/common}/util/interval-set_test.cc (99%) rename {common => verible/common}/util/interval.h (99%) rename {common => verible/common}/util/interval_test.cc (99%) rename {common => verible/common}/util/iterator-adaptors.h (96%) rename {common => verible/common}/util/iterator-adaptors_test.cc (96%) rename {common => verible/common}/util/iterator-range.h (100%) rename {common => verible/common}/util/iterator-range_test.cc (98%) rename {common => verible/common}/util/logging.h (100%) rename {common => verible/common}/util/map-tree.h (99%) rename {common => verible/common}/util/map-tree_test.cc (99%) rename {common => verible/common}/util/range.h (98%) rename {common => verible/common}/util/range_test.cc (99%) rename {common => verible/common}/util/sha256.cc (99%) rename {common => verible/common}/util/sha256.h (100%) rename {common => verible/common}/util/sha256_test.cc (99%) rename {common => verible/common}/util/simple-zip.cc (99%) rename {common => verible/common}/util/simple-zip.h (100%) rename {common => verible/common}/util/simple-zip_test.cc (98%) rename {common => verible/common}/util/spacer.cc (95%) rename {common => verible/common}/util/spacer.h (100%) rename {common => verible/common}/util/spacer_test.cc (96%) rename {common => verible/common}/util/status-macros.h (100%) rename {common => verible/common}/util/subcommand.cc (98%) rename {common => verible/common}/util/subcommand.h (97%) rename {common => verible/common}/util/subcommand_test.cc (99%) rename {common => verible/common}/util/thread-pool.cc (97%) rename {common => verible/common}/util/thread-pool.h (100%) rename {common => verible/common}/util/thread-pool_test.cc (98%) rename {common => verible/common}/util/top-n.h (100%) rename {common => verible/common}/util/top-n_test.cc (98%) rename {common => verible/common}/util/tree-operations.cc (95%) rename {common => verible/common}/util/tree-operations.h (99%) rename {common => verible/common}/util/tree-operations_test.cc (99%) rename {common => verible/common}/util/type-traits.h (100%) rename {common => verible/common}/util/type-traits_test.cc (96%) rename {common => verible/common}/util/user-interaction.cc (98%) rename {common => verible/common}/util/user-interaction.h (100%) rename {common => verible/common}/util/user-interaction_test.cc (97%) rename {common => verible/common}/util/value-saver.h (100%) rename {common => verible/common}/util/value-saver_test.cc (96%) rename {common => verible/common}/util/vector-tree-iterators.h (97%) rename {common => verible/common}/util/vector-tree-iterators_test.cc (98%) rename {common => verible/common}/util/vector-tree-test-util.cc (96%) rename {common => verible/common}/util/vector-tree-test-util.h (95%) rename {common => verible/common}/util/vector-tree.h (98%) rename {common => verible/common}/util/vector-tree_test.cc (99%) rename {common => verible/common}/util/with-reason.h (100%) rename {common => verible/common}/util/with-reason_test.cc (97%) create mode 100644 verible/verilog/CST/BUILD rename {verilog => verible/verilog}/CST/DPI.cc (77%) rename {verilog => verible/verilog}/CST/DPI.h (84%) rename {verilog => verible/verilog}/CST/DPI_test.cc (92%) rename {verilog => verible/verilog}/CST/README.md (100%) rename {verilog => verible/verilog}/CST/class.cc (90%) rename {verilog => verible/verilog}/CST/class.h (95%) rename {verilog => verible/verilog}/CST/class_test.cc (96%) rename {verilog => verible/verilog}/CST/constraints.cc (73%) rename {verilog => verible/verilog}/CST/constraints.h (80%) rename {verilog => verible/verilog}/CST/constraints_test.cc (91%) rename {verilog => verible/verilog}/CST/context-functions.h (96%) rename {verilog => verible/verilog}/CST/context-functions_test.cc (96%) rename {verilog => verible/verilog}/CST/data.cc (78%) rename {verilog => verible/verilog}/CST/data.h (88%) rename {verilog => verible/verilog}/CST/data_test.cc (97%) rename {verilog => verible/verilog}/CST/declaration.cc (92%) rename {verilog => verible/verilog}/CST/declaration.h (95%) rename {verilog => verible/verilog}/CST/declaration_test.cc (98%) rename {verilog => verible/verilog}/CST/dimensions.cc (79%) rename {verilog => verible/verilog}/CST/dimensions.h (91%) rename {verilog => verible/verilog}/CST/dimensions_test.cc (94%) rename {verilog => verible/verilog}/CST/expression.cc (93%) rename {verilog => verible/verilog}/CST/expression.h (91%) rename {verilog => verible/verilog}/CST/expression_test.cc (96%) rename {verilog => verible/verilog}/CST/functions.cc (94%) rename {verilog => verible/verilog}/CST/functions.h (95%) rename {verilog => verible/verilog}/CST/functions_test.cc (97%) rename {verilog => verible/verilog}/CST/identifier.cc (83%) rename {verilog => verible/verilog}/CST/identifier.h (93%) rename {verilog => verible/verilog}/CST/identifier_test.cc (95%) rename {verilog => verible/verilog}/CST/macro.cc (89%) rename {verilog => verible/verilog}/CST/macro.h (91%) rename {verilog => verible/verilog}/CST/macro_test.cc (96%) rename {verilog => verible/verilog}/CST/match-test-utils.cc (87%) rename {verilog => verible/verilog}/CST/match-test-utils.h (88%) rename {verilog => verible/verilog}/CST/module.cc (91%) rename {verilog => verible/verilog}/CST/module.h (93%) rename {verilog => verible/verilog}/CST/module_test.cc (97%) rename {verilog => verible/verilog}/CST/net.cc (81%) rename {verilog => verible/verilog}/CST/net.h (87%) rename {verilog => verible/verilog}/CST/net_test.cc (96%) rename {verilog => verible/verilog}/CST/numbers.cc (96%) rename {verilog => verible/verilog}/CST/numbers.h (100%) rename {verilog => verible/verilog}/CST/numbers_test.cc (98%) rename {verilog => verible/verilog}/CST/package.cc (85%) rename {verilog => verible/verilog}/CST/package.h (88%) rename {verilog => verible/verilog}/CST/package_test.cc (96%) rename {verilog => verible/verilog}/CST/parameters.cc (93%) rename {verilog => verible/verilog}/CST/parameters.h (92%) rename {verilog => verible/verilog}/CST/parameters_test.cc (97%) rename {verilog => verible/verilog}/CST/port.cc (92%) rename {verilog => verible/verilog}/CST/port.h (94%) rename {verilog => verible/verilog}/CST/port_test.cc (97%) rename {verilog => verible/verilog}/CST/seq-block.cc (87%) rename {verilog => verible/verilog}/CST/seq-block.h (88%) rename {verilog => verible/verilog}/CST/seq-block_test.cc (93%) rename {verilog => verible/verilog}/CST/statement.cc (97%) rename {verilog => verible/verilog}/CST/statement.h (97%) rename {verilog => verible/verilog}/CST/statement_test.cc (98%) rename {verilog => verible/verilog}/CST/tasks.cc (87%) rename {verilog => verible/verilog}/CST/tasks.h (89%) rename {verilog => verible/verilog}/CST/tasks_test.cc (95%) rename {verilog => verible/verilog}/CST/type.cc (96%) rename {verilog => verible/verilog}/CST/type.h (95%) rename {verilog => verible/verilog}/CST/type_test.cc (97%) rename {verilog => verible/verilog}/CST/verilog-matchers.cc (100%) rename {verilog => verible/verilog}/CST/verilog-matchers.h (97%) rename {verilog => verible/verilog}/CST/verilog-matchers_test.cc (98%) rename {verilog => verible/verilog}/CST/verilog-nonterminals.cc (91%) rename {verilog => verible/verilog}/CST/verilog-nonterminals.h (99%) rename {verilog => verible/verilog}/CST/verilog-nonterminals_test.cc (94%) rename {verilog => verible/verilog}/CST/verilog-tree-json.cc (83%) rename {verilog => verible/verilog}/CST/verilog-tree-json.h (96%) rename {verilog => verible/verilog}/CST/verilog-tree-json_test.cc (91%) rename {verilog => verible/verilog}/CST/verilog-tree-print.cc (81%) rename {verilog => verible/verilog}/CST/verilog-tree-print.h (88%) rename {verilog => verible/verilog}/CST/verilog-tree-print_test.cc (92%) rename {verilog => verible/verilog}/CST/verilog-treebuilder-utils.cc (84%) rename {verilog => verible/verilog}/CST/verilog-treebuilder-utils.h (97%) rename {verilog => verible/verilog}/CST/verilog-treebuilder-utils_test.cc (91%) rename {verilog => verible/verilog}/CST/verilog_nonterminals_foreach.inc (93%) rename {verilog => verible/verilog}/README.md (100%) rename {verilog => verible/verilog}/analysis/BUILD (52%) rename {verilog => verible/verilog}/analysis/README.md (100%) create mode 100644 verible/verilog/analysis/checkers/BUILD rename {verilog => verible/verilog}/analysis/checkers/README.md (100%) rename {verilog => verible/verilog}/analysis/checkers/always-comb-blocking-rule.cc (76%) rename {verilog => verible/verilog}/analysis/checkers/always-comb-blocking-rule.h (83%) rename {verilog => verible/verilog}/analysis/checkers/always-comb-blocking-rule_test.cc (90%) rename {verilog => verible/verilog}/analysis/checkers/always-comb-rule.cc (83%) rename {verilog => verible/verilog}/analysis/checkers/always-comb-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/always-comb-rule_test.cc (91%) rename {verilog => verible/verilog}/analysis/checkers/always-ff-non-blocking-rule.cc (90%) rename {verilog => verible/verilog}/analysis/checkers/always-ff-non-blocking-rule.h (90%) rename {verilog => verible/verilog}/analysis/checkers/always-ff-non-blocking-rule_test.cc (93%) rename {verilog => verible/verilog}/analysis/checkers/banned-declared-name-patterns-rule.cc (82%) rename {verilog => verible/verilog}/analysis/checkers/banned-declared-name-patterns-rule.h (80%) rename {verilog => verible/verilog}/analysis/checkers/banned-declared-name-patterns-rule_test.cc (87%) rename {verilog => verible/verilog}/analysis/checkers/case-missing-default-rule.cc (77%) rename {verilog => verible/verilog}/analysis/checkers/case-missing-default-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/case-missing-default-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/constraint-name-style-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/constraint-name-style-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/constraint-name-style-rule_test.cc (91%) rename {verilog => verible/verilog}/analysis/checkers/create-object-name-match-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/create-object-name-match-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/create-object-name-match-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/dff-name-style-rule.cc (93%) rename {verilog => verible/verilog}/analysis/checkers/dff-name-style-rule.h (96%) rename {verilog => verible/verilog}/analysis/checkers/dff-name-style-rule_test.cc (97%) rename {verilog => verible/verilog}/analysis/checkers/disable-statement-rule.cc (85%) rename {verilog => verible/verilog}/analysis/checkers/disable-statement-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/disable-statement-rule_test.cc (92%) rename {verilog => verible/verilog}/analysis/checkers/endif-comment-rule.cc (92%) rename {verilog => verible/verilog}/analysis/checkers/endif-comment-rule.h (90%) rename {verilog => verible/verilog}/analysis/checkers/endif-comment-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/enum-name-style-rule.cc (85%) rename {verilog => verible/verilog}/analysis/checkers/enum-name-style-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/enum-name-style-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/explicit-begin-rule.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/explicit-begin-rule.h (92%) rename {verilog => verible/verilog}/analysis/checkers/explicit-begin-rule_test.cc (98%) rename {verilog => verible/verilog}/analysis/checkers/explicit-function-lifetime-rule.cc (75%) rename {verilog => verible/verilog}/analysis/checkers/explicit-function-lifetime-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/explicit-function-lifetime-rule_test.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/explicit-function-task-parameter-type-rule.cc (78%) rename {verilog => verible/verilog}/analysis/checkers/explicit-function-task-parameter-type-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc (95%) rename {verilog => verible/verilog}/analysis/checkers/explicit-parameter-storage-type-rule.cc (82%) rename {verilog => verible/verilog}/analysis/checkers/explicit-parameter-storage-type-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/explicit-parameter-storage-type-rule_test.cc (93%) rename {verilog => verible/verilog}/analysis/checkers/explicit-task-lifetime-rule.cc (77%) rename {verilog => verible/verilog}/analysis/checkers/explicit-task-lifetime-rule.h (81%) rename {verilog => verible/verilog}/analysis/checkers/explicit-task-lifetime-rule_test.cc (88%) rename {verilog => verible/verilog}/analysis/checkers/forbid-consecutive-null-statements-rule.cc (83%) rename {verilog => verible/verilog}/analysis/checkers/forbid-consecutive-null-statements-rule.h (83%) rename {verilog => verible/verilog}/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/forbid-defparam-rule.cc (73%) rename {verilog => verible/verilog}/analysis/checkers/forbid-defparam-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/forbid-defparam-rule_test.cc (86%) rename {verilog => verible/verilog}/analysis/checkers/forbid-line-continuations-rule.cc (81%) rename {verilog => verible/verilog}/analysis/checkers/forbid-line-continuations-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/forbid-line-continuations-rule_test.cc (90%) rename {verilog => verible/verilog}/analysis/checkers/forbid-negative-array-dim.cc (79%) rename {verilog => verible/verilog}/analysis/checkers/forbid-negative-array-dim.h (86%) rename {verilog => verible/verilog}/analysis/checkers/forbid-negative-array-dim_test.cc (88%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-anonymous-enums-rule.cc (79%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-anonymous-enums-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-anonymous-enums-rule_test.cc (86%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-anonymous-structs-unions-rule.h (90%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-macro-rule.cc (81%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-macro-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-macro-rule_test.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-symbol-rule.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-symbol-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/forbidden-symbol-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/generate-label-prefix-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/generate-label-prefix-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/generate-label-prefix-rule_test.cc (95%) rename {verilog => verible/verilog}/analysis/checkers/generate-label-rule.cc (78%) rename {verilog => verible/verilog}/analysis/checkers/generate-label-rule.h (86%) rename {verilog => verible/verilog}/analysis/checkers/generate-label-rule_test.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/instance-shadow-rule.cc (85%) rename {verilog => verible/verilog}/analysis/checkers/instance-shadow-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/instance-shadow-rule_test.cc (93%) rename {verilog => verible/verilog}/analysis/checkers/interface-name-style-rule.cc (83%) rename {verilog => verible/verilog}/analysis/checkers/interface-name-style-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/interface-name-style-rule_test.cc (93%) rename {verilog => verible/verilog}/analysis/checkers/legacy-generate-region-rule.cc (75%) rename {verilog => verible/verilog}/analysis/checkers/legacy-generate-region-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/legacy-generate-region-rule_test.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/legacy-genvar-declaration-rule.cc (78%) rename {verilog => verible/verilog}/analysis/checkers/legacy-genvar-declaration-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/legacy-genvar-declaration-rule_test.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/line-length-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/line-length-rule.h (89%) rename {verilog => verible/verilog}/analysis/checkers/line-length-rule_test.cc (95%) rename {verilog => verible/verilog}/analysis/checkers/macro-name-style-rule.cc (91%) rename {verilog => verible/verilog}/analysis/checkers/macro-name-style-rule.h (90%) rename {verilog => verible/verilog}/analysis/checkers/macro-name-style-rule_test.cc (90%) rename {verilog => verible/verilog}/analysis/checkers/macro-string-concatenation-rule.cc (82%) rename {verilog => verible/verilog}/analysis/checkers/macro-string-concatenation-rule.h (88%) rename {verilog => verible/verilog}/analysis/checkers/macro-string-concatenation-rule_test.cc (88%) rename {verilog => verible/verilog}/analysis/checkers/mismatched-labels-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/mismatched-labels-rule.h (81%) rename {verilog => verible/verilog}/analysis/checkers/mismatched-labels-rule_test.cc (95%) rename {verilog => verible/verilog}/analysis/checkers/module-begin-block-rule.cc (79%) rename {verilog => verible/verilog}/analysis/checkers/module-begin-block-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/module-begin-block-rule_test.cc (85%) rename {verilog => verible/verilog}/analysis/checkers/module-filename-rule.cc (88%) rename {verilog => verible/verilog}/analysis/checkers/module-filename-rule.h (88%) rename {verilog => verible/verilog}/analysis/checkers/module-filename-rule_test.cc (97%) rename {verilog => verible/verilog}/analysis/checkers/module-instantiation-rules.cc (88%) rename {verilog => verible/verilog}/analysis/checkers/module-instantiation-rules.h (87%) rename {verilog => verible/verilog}/analysis/checkers/module-instantiation-rules_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/no-tabs-rule.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/no-tabs-rule.h (89%) rename {verilog => verible/verilog}/analysis/checkers/no-tabs-rule_test.cc (86%) rename {verilog => verible/verilog}/analysis/checkers/no-trailing-spaces-rule.cc (87%) rename {verilog => verible/verilog}/analysis/checkers/no-trailing-spaces-rule.h (90%) rename {verilog => verible/verilog}/analysis/checkers/no-trailing-spaces-rule_test.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/numeric-format-string-style-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/numeric-format-string-style-rule.h (89%) rename {verilog => verible/verilog}/analysis/checkers/numeric-format-string-style-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/one-module-per-file-rule.cc (85%) rename {verilog => verible/verilog}/analysis/checkers/one-module-per-file-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/one-module-per-file-rule_test.cc (90%) rename {verilog => verible/verilog}/analysis/checkers/package-filename-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/package-filename-rule.h (88%) rename {verilog => verible/verilog}/analysis/checkers/package-filename-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/packed-dimensions-rule.cc (77%) rename {verilog => verible/verilog}/analysis/checkers/packed-dimensions-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/packed-dimensions-rule_test.cc (90%) rename {verilog => verible/verilog}/analysis/checkers/parameter-name-style-rule.cc (92%) rename {verilog => verible/verilog}/analysis/checkers/parameter-name-style-rule.h (90%) rename {verilog => verible/verilog}/analysis/checkers/parameter-name-style-rule_test.cc (98%) rename {verilog => verible/verilog}/analysis/checkers/parameter-type-name-style-rule.cc (78%) rename {verilog => verible/verilog}/analysis/checkers/parameter-type-name-style-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/parameter-type-name-style-rule_test.cc (92%) rename {verilog => verible/verilog}/analysis/checkers/plusarg-assignment-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/plusarg-assignment-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/plusarg-assignment-rule_test.cc (82%) rename {verilog => verible/verilog}/analysis/checkers/port-name-suffix-rule.cc (87%) rename {verilog => verible/verilog}/analysis/checkers/port-name-suffix-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/port-name-suffix-rule_test.cc (95%) rename {verilog => verible/verilog}/analysis/checkers/positive-meaning-parameter-name-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/positive-meaning-parameter-name-rule.h (86%) rename {verilog => verible/verilog}/analysis/checkers/positive-meaning-parameter-name-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/posix-eof-rule.cc (83%) rename {verilog => verible/verilog}/analysis/checkers/posix-eof-rule.h (89%) rename {verilog => verible/verilog}/analysis/checkers/posix-eof-rule_test.cc (85%) rename {verilog => verible/verilog}/analysis/checkers/proper-parameter-declaration-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/proper-parameter-declaration-rule.h (88%) rename {verilog => verible/verilog}/analysis/checkers/proper-parameter-declaration-rule_test.cc (97%) rename {verilog => verible/verilog}/analysis/checkers/signal-name-style-rule.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/signal-name-style-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/signal-name-style-rule_test.cc (95%) rename {verilog => verible/verilog}/analysis/checkers/struct-union-name-style-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/struct-union-name-style-rule.h (86%) rename {verilog => verible/verilog}/analysis/checkers/struct-union-name-style-rule_test.cc (97%) rename {verilog => verible/verilog}/analysis/checkers/suggest-parentheses-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/suggest-parentheses-rule.h (84%) rename {verilog => verible/verilog}/analysis/checkers/suggest-parentheses-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/suspicious-semicolon-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/suspicious-semicolon-rule.h (87%) rename {verilog => verible/verilog}/analysis/checkers/suspicious-semicolon-rule_test.cc (92%) rename {verilog => verible/verilog}/analysis/checkers/truncated-numeric-literal-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/truncated-numeric-literal-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/truncated-numeric-literal-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/undersized-binary-literal-rule.cc (89%) rename {verilog => verible/verilog}/analysis/checkers/undersized-binary-literal-rule.h (89%) rename {verilog => verible/verilog}/analysis/checkers/undersized-binary-literal-rule_test.cc (96%) rename {verilog => verible/verilog}/analysis/checkers/unpacked-dimensions-rule.cc (80%) rename {verilog => verible/verilog}/analysis/checkers/unpacked-dimensions-rule.h (85%) rename {verilog => verible/verilog}/analysis/checkers/unpacked-dimensions-rule_test.cc (94%) rename {verilog => verible/verilog}/analysis/checkers/uvm-macro-semicolon-rule.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/uvm-macro-semicolon-rule.h (86%) rename {verilog => verible/verilog}/analysis/checkers/uvm-macro-semicolon-rule_test.cc (97%) rename {verilog => verible/verilog}/analysis/checkers/v2001-generate-begin-rule.cc (76%) rename {verilog => verible/verilog}/analysis/checkers/v2001-generate-begin-rule.h (86%) rename {verilog => verible/verilog}/analysis/checkers/v2001-generate-begin-rule_test.cc (87%) rename {verilog => verible/verilog}/analysis/checkers/void-cast-rule.cc (84%) rename {verilog => verible/verilog}/analysis/checkers/void-cast-rule.h (83%) rename {verilog => verible/verilog}/analysis/checkers/void-cast-rule_test.cc (90%) rename {verilog => verible/verilog}/analysis/default-rules.h (100%) rename {verilog => verible/verilog}/analysis/default-rules_test.cc (90%) rename {verilog => verible/verilog}/analysis/dependencies.cc (95%) rename {verilog => verible/verilog}/analysis/dependencies.h (96%) rename {verilog => verible/verilog}/analysis/dependencies_test.cc (98%) rename {verilog => verible/verilog}/analysis/descriptions.h (100%) rename {verilog => verible/verilog}/analysis/extractors.cc (86%) rename {verilog => verible/verilog}/analysis/extractors.h (95%) rename {verilog => verible/verilog}/analysis/extractors_test.cc (96%) rename {verilog => verible/verilog}/analysis/flow-tree.cc (98%) rename {verilog => verible/verilog}/analysis/flow-tree.h (99%) rename {verilog => verible/verilog}/analysis/flow-tree_test.cc (98%) rename {verilog => verible/verilog}/analysis/json-diagnostics.cc (92%) rename {verilog => verible/verilog}/analysis/json-diagnostics.h (95%) rename {verilog => verible/verilog}/analysis/json-diagnostics_test.cc (93%) rename {verilog => verible/verilog}/analysis/lint-rule-registry.cc (94%) rename {verilog => verible/verilog}/analysis/lint-rule-registry.h (94%) rename {verilog => verible/verilog}/analysis/lint-rule-registry_test.cc (92%) rename {verilog => verible/verilog}/analysis/symbol-table.cc (98%) rename {verilog => verible/verilog}/analysis/symbol-table.h (99%) rename {verilog => verible/verilog}/analysis/symbol-table_test.cc (99%) rename {verilog => verible/verilog}/analysis/verilog-analyzer.cc (93%) rename {verilog => verible/verilog}/analysis/verilog-analyzer.h (95%) rename {verilog => verible/verilog}/analysis/verilog-analyzer_test.cc (98%) rename {verilog => verible/verilog}/analysis/verilog-equivalence.cc (95%) rename {verilog => verible/verilog}/analysis/verilog-equivalence.h (97%) rename {verilog => verible/verilog}/analysis/verilog-equivalence_test.cc (99%) rename {verilog => verible/verilog}/analysis/verilog-excerpt-parse.cc (96%) rename {verilog => verible/verilog}/analysis/verilog-excerpt-parse.h (96%) rename {verilog => verible/verilog}/analysis/verilog-filelist.cc (97%) rename {verilog => verible/verilog}/analysis/verilog-filelist.h (100%) rename {verilog => verible/verilog}/analysis/verilog-filelist_test.cc (97%) rename {verilog => verible/verilog}/analysis/verilog-linter-configuration.cc (95%) rename {verilog => verible/verilog}/analysis/verilog-linter-configuration.h (97%) rename {verilog => verible/verilog}/analysis/verilog-linter-configuration_test.cc (97%) rename {verilog => verible/verilog}/analysis/verilog-linter-constants.h (100%) rename {verilog => verible/verilog}/analysis/verilog-linter.cc (92%) rename {verilog => verible/verilog}/analysis/verilog-linter.h (90%) rename {verilog => verible/verilog}/analysis/verilog-linter_test.cc (98%) rename {verilog => verible/verilog}/analysis/verilog-project.cc (97%) rename {verilog => verible/verilog}/analysis/verilog-project.h (98%) rename {verilog => verible/verilog}/analysis/verilog-project_test.cc (98%) create mode 100644 verible/verilog/formatting/BUILD rename {verilog => verible/verilog}/formatting/README.md (100%) rename {verilog => verible/verilog}/formatting/align.cc (98%) rename {verilog => verible/verilog}/formatting/align.h (87%) rename {verilog => verible/verilog}/formatting/comment-controls.cc (91%) rename {verilog => verible/verilog}/formatting/comment-controls.h (91%) rename {verilog => verible/verilog}/formatting/comment-controls_test.cc (98%) rename {verilog => verible/verilog}/formatting/format-style-init.cc (95%) rename {verilog => verible/verilog}/formatting/format-style-init.h (95%) rename {verilog => verible/verilog}/formatting/format-style.h (98%) rename {verilog => verible/verilog}/formatting/formatter-tuning_test.cc (96%) rename {verilog => verible/verilog}/formatting/formatter.cc (95%) rename {verilog => verible/verilog}/formatting/formatter.h (96%) rename {verilog => verible/verilog}/formatting/formatter_test.cc (99%) rename {verilog => verible/verilog}/formatting/token-annotator.cc (97%) rename {verilog => verible/verilog}/formatting/token-annotator.h (89%) rename {verilog => verible/verilog}/formatting/token-annotator_test.cc (99%) rename {verilog => verible/verilog}/formatting/tree-unwrapper.cc (98%) rename {verilog => verible/verilog}/formatting/tree-unwrapper.h (91%) rename {verilog => verible/verilog}/formatting/tree-unwrapper_test.cc (99%) rename {verilog => verible/verilog}/formatting/verilog-token.cc (99%) rename {verilog => verible/verilog}/formatting/verilog-token.h (96%) rename {verilog => verible/verilog}/formatting/verilog-token_test.cc (95%) rename {verilog => verible/verilog}/parser/BUILD (72%) rename {verilog => verible/verilog}/parser/README.md (100%) rename {verilog => verible/verilog}/parser/verilog-lexer.cc (92%) rename {verilog => verible/verilog}/parser/verilog-lexer.h (96%) rename {verilog => verible/verilog}/parser/verilog-lexer_test.cc (99%) rename {verilog => verible/verilog}/parser/verilog-lexical-context.cc (99%) rename {verilog => verible/verilog}/parser/verilog-lexical-context.h (98%) rename {verilog => verible/verilog}/parser/verilog-lexical-context_test.cc (99%) rename {verilog => verible/verilog}/parser/verilog-parser.cc (91%) rename {verilog => verible/verilog}/parser/verilog-parser.h (93%) rename {verilog => verible/verilog}/parser/verilog-parser_test.cc (99%) rename {verilog => verible/verilog}/parser/verilog-token-classifications.cc (97%) rename {verilog => verible/verilog}/parser/verilog-token-classifications.h (97%) rename {verilog => verible/verilog}/parser/verilog-token-classifications_test.cc (98%) rename {verilog => verible/verilog}/parser/verilog-token.cc (93%) rename {verilog => verible/verilog}/parser/verilog-token.h (100%) rename {verilog => verible/verilog}/parser/verilog.lex (99%) rename {verilog => verible/verilog}/parser/verilog.y (99%) create mode 100644 verible/verilog/preprocessor/BUILD rename {verilog => verible/verilog}/preprocessor/README.md (100%) rename {verilog => verible/verilog}/preprocessor/verilog-preprocess.cc (97%) rename {verilog => verible/verilog}/preprocessor/verilog-preprocess.h (97%) rename {verilog => verible/verilog}/preprocessor/verilog-preprocess_test.cc (98%) rename {verilog => verible/verilog}/tools/README.md (100%) rename {verilog => verible/verilog}/tools/diff/BUILD (89%) rename {verilog => verible/verilog}/tools/diff/README.md (100%) rename {verilog => verible/verilog}/tools/diff/diff_format_lex_error_test.sh (100%) rename {verilog => verible/verilog}/tools/diff/diff_format_match_test.sh (100%) rename {verilog => verible/verilog}/tools/diff/diff_format_mismatch_test.sh (100%) rename {verilog => verible/verilog}/tools/diff/diff_obfuscate_match_test.sh (100%) rename {verilog => verible/verilog}/tools/diff/diff_obfuscate_mismatch_test.sh (100%) rename {verilog => verible/verilog}/tools/diff/diff_user_errors_test.sh (100%) rename {verilog => verible/verilog}/tools/diff/verilog-diff.cc (95%) rename {verilog => verible/verilog}/tools/formatter/BUILD (84%) rename {verilog => verible/verilog}/tools/formatter/README.md (100%) rename {verilog => verible/verilog}/tools/formatter/diff-to-changed-lines.awk (100%) rename {verilog => verible/verilog}/tools/formatter/diff_formatter.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_file_badlines_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_file_check_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_file_lex_error_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_file_lines_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_file_syntax_error_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_file_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_inplace_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_stdin_inplace_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/format_stdin_test.sh (100%) rename {verilog => verible/verilog}/tools/formatter/git-verible-verilog-format.sh (100%) rename {verilog => verible/verilog}/tools/formatter/triage_formatter.sh (100%) rename {verilog => verible/verilog}/tools/formatter/verible-verilog-format-changed-lines-interactive.sh (100%) rename {verilog => verible/verilog}/tools/formatter/verilog-format.cc (96%) rename {verilog => verible/verilog}/tools/kythe/BUILD (73%) rename {verilog => verible/verilog}/tools/kythe/README.md (100%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree-context.h (90%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree-extractor.cc (98%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree-extractor.h (92%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree-extractor_test.cc (99%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree.cc (96%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree.h (97%) rename {verilog => verible/verilog}/tools/kythe/indexing-facts-tree_test.cc (97%) rename {verilog => verible/verilog}/tools/kythe/kythe-facts-extractor.cc (98%) rename {verilog => verible/verilog}/tools/kythe/kythe-facts-extractor.h (94%) rename {verilog => verible/verilog}/tools/kythe/kythe-facts.cc (98%) rename {verilog => verible/verilog}/tools/kythe/kythe-facts.h (100%) rename {verilog => verible/verilog}/tools/kythe/kythe-facts_test.cc (98%) rename {verilog => verible/verilog}/tools/kythe/kythe-proto-output.cc (96%) rename {verilog => verible/verilog}/tools/kythe/kythe-proto-output.h (91%) rename {verilog => verible/verilog}/tools/kythe/kythe-schema-constants.h (100%) rename {verilog => verible/verilog}/tools/kythe/kzip-creator.cc (93%) rename {verilog => verible/verilog}/tools/kythe/kzip-creator.h (97%) rename {verilog => verible/verilog}/tools/kythe/scope-resolver.cc (97%) rename {verilog => verible/verilog}/tools/kythe/scope-resolver.h (99%) rename {verilog => verible/verilog}/tools/kythe/scope-resolver_test.cc (98%) rename {verilog => verible/verilog}/tools/kythe/testdata/array.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/case.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/class.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/class_constructor.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/class_extends.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/class_extends_with_package.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/class_member.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/class_parameter.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/conditional_generate.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/declarations.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/different_primitive_types.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/do_while_loop.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/enum.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/event_control.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/final.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/for_loop.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/for_loop_uint.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/foreach.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/forever.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_and_task.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_call_hierarchy.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_call_inside_loop.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_named_args.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_overrides.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_port_dimensions.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_scoping.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/function_user_defined_port.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/if_condition.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/A.svh (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/B.svh (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/C.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/file_list.txt (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/included-file-1.svh (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/included-file-2.svh (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/module.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/module2.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/package_with_include.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_file_test/package_with_include_class.svh (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_with_dir_test/E.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_with_dir_test/file_list.txt (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_with_dir_test/include_dir/D.svh (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_with_dir_test/module3.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/include_with_dir_test/module4.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/initial_block.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/interface.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/interface_with_module.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/interface_with_parameter.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/macro.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/macro_call_test.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/macro_with_function.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module_actual_and_implicit_port.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module_extra_test.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module_instance_in_conditional_generate.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module_parameter.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module_port_type_forwarding.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/module_with_same_prefix.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/multi_file_test/file_list.txt (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/multi_file_test/multi-file-1.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/multi_file_test/multi-file-2.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/multi_file_test/multi-file-3.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/multi_file_test/multi-file-4.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/nested_member_access.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/package.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/package_with_primitive.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/primitive_type_with_dimensions.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/primitive_types.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/program.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/propagate_data_type_module_port.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/pure_virtual_function.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/pure_virtual_task.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/qualified_variable_type.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/repeat.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/reversed_definition.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/struct.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/struct_declaration_function_argument.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/struct_inside_union.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/task_scoping.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/type_declaration.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/union.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/union_inside_struct.sv (100%) rename {verilog => verible/verilog}/tools/kythe/testdata/while_loop.sv (100%) rename {verilog => verible/verilog}/tools/kythe/verification_test.sh (100%) rename {verilog => verible/verilog}/tools/kythe/verilog-extractor-indexing-fact-type.cc (86%) rename {verilog => verible/verilog}/tools/kythe/verilog-extractor-indexing-fact-type.h (100%) rename {verilog => verible/verilog}/tools/kythe/verilog-kythe-extractor.cc (92%) rename {verilog => verible/verilog}/tools/kythe/verilog-kythe-kzip-writer.cc (95%) rename {verilog => verible/verilog}/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc (89%) rename {verilog => verible/verilog}/tools/kythe/verilog_kythe_extractor_test.sh (100%) rename {verilog => verible/verilog}/tools/lint/BUILD (96%) rename {verilog => verible/verilog}/tools/lint/README.md (100%) rename {verilog => verible/verilog}/tools/lint/lint_tool_test.sh (100%) rename {verilog => verible/verilog}/tools/lint/show_line_col.sh (100%) rename {verilog => verible/verilog}/tools/lint/testdata/always_comb_blocking.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/always_comb_module.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/always_ff_non_blocking.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/bad-id-lex.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/banned_declared_name_patterns.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/case_missing_default.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/constraint_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/defparam_usage.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/dff_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/disable_statement.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/endif_comment.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/enum_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/explicit_begin.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/explicit_function_lifetime.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/explicit_function_parameter_type.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/explicit_parameter_storage_type.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/explicit_task_lifetime.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/explicit_task_parameter_type.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/forbid_consecutive_null_statements.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/forbid_line_continuations.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/forbid_negative_array_dim.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/generate-label-module-body.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/generate_begin_module.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/generate_label_module.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/generate_label_prefix.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/instance-ports-module-body.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/instance_parameters.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/instance_ports.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/interface_type_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/legacy_generate_region.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/legacy_genvar_declaration.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/line-length-in-module-body.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/localparam_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/localparam_name_style_all_caps.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/localparam_name_style_camel_case.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/localparam_type_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/long_line.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/macro_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/macro_string_concatenation.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/mismatched_labels.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/module_begin_block.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/module_filename.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/numeric_format_string_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/object_creation_name.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/one_module_per_file.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/package_filename_pkg.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/packed_dimensions.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/parameter_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/parameter_type_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/plusarg_assignment.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/port_name_suffix.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/positive_meaning_parameter_name.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/posix_eof.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/proper_localparam_declaration.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/proper_parameter_declaration.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/psprintf.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/shadow_parameter.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/signal_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/struct_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/suggest_parentheses_example.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/suspicious_semicolon.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/tabs.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/trailing_spaces.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/truncated_numeric_literal.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/typedef_enums.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/typedef_structs.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/typedef_unions.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/undersized_binary_literal.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/union_name_style.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/unpacked_dimensions.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/uvm_macro_semicolon.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/uvm_warning.sv (100%) rename {verilog => verible/verilog}/tools/lint/testdata/void-cast.sv (100%) rename {verilog => verible/verilog}/tools/lint/verilog-lint.cc (95%) rename {verilog => verible/verilog}/tools/lint/verilog_style_lint.bzl (97%) create mode 100644 verible/verilog/tools/ls/BUILD rename {verilog => verible/verilog}/tools/ls/README.md (100%) rename {verilog => verible/verilog}/tools/ls/autoexpand.cc (98%) rename {verilog => verible/verilog}/tools/ls/autoexpand.h (87%) rename {verilog => verible/verilog}/tools/ls/autoexpand_test.cc (99%) rename {verilog => verible/verilog}/tools/ls/document-symbol-filler.cc (91%) rename {verilog => verible/verilog}/tools/ls/document-symbol-filler.h (91%) rename {verilog => verible/verilog}/tools/ls/hover.cc (88%) rename {verilog => verible/verilog}/tools/ls/hover.h (86%) rename {verilog => verible/verilog}/tools/ls/lsp-conversion.h (91%) rename {verilog => verible/verilog}/tools/ls/lsp-parse-buffer.cc (91%) rename {verilog => verible/verilog}/tools/ls/lsp-parse-buffer.h (96%) rename {verilog => verible/verilog}/tools/ls/lsp-parse-buffer_test.cc (96%) rename {verilog => verible/verilog}/tools/ls/symbol-table-handler.cc (95%) rename {verilog => verible/verilog}/tools/ls/symbol-table-handler.h (94%) rename {verilog => verible/verilog}/tools/ls/symbol-table-handler_test.cc (98%) rename {verilog => verible/verilog}/tools/ls/verible-lsp-adapter.cc (92%) rename {verilog => verible/verilog}/tools/ls/verible-lsp-adapter.h (94%) rename {verilog => verible/verilog}/tools/ls/verible-verilog-ls.cc (95%) rename {verilog => verible/verilog}/tools/ls/verible-verilog-ls_test.sh (100%) rename {verilog => verible/verilog}/tools/ls/verilog-language-server.cc (94%) rename {verilog => verible/verilog}/tools/ls/verilog-language-server.h (91%) rename {verilog => verible/verilog}/tools/ls/verilog-language-server_test.cc (99%) rename {verilog => verible/verilog}/tools/ls/vscode/.gitignore (100%) rename {verilog => verible/verilog}/tools/ls/vscode/LICENSE (100%) rename {verilog => verible/verilog}/tools/ls/vscode/README.md (100%) rename {verilog => verible/verilog}/tools/ls/vscode/images/icon.png (100%) rename {verilog => verible/verilog}/tools/ls/vscode/package.json (100%) rename {verilog => verible/verilog}/tools/ls/vscode/src/download-ls.ts (100%) rename {verilog => verible/verilog}/tools/ls/vscode/src/extension.ts (100%) rename {verilog => verible/verilog}/tools/ls/vscode/tsconfig.json (100%) rename {verilog => verible/verilog}/tools/ls/vscode/webpack.config.js (100%) rename {verilog => verible/verilog}/tools/obfuscator/BUILD (69%) rename {verilog => verible/verilog}/tools/obfuscator/README.md (100%) rename {verilog => verible/verilog}/tools/obfuscator/obfuscate_test.sh (100%) rename {verilog => verible/verilog}/tools/obfuscator/verilog-obfuscate.cc (95%) rename {verilog => verible/verilog}/tools/preprocessor/BUILD (65%) rename {verilog => verible/verilog}/tools/preprocessor/README.md (100%) rename {verilog => verible/verilog}/tools/preprocessor/verilog-preprocessor.cc (95%) rename {verilog => verible/verilog}/tools/preprocessor/verilog_preprocessor_test.sh (100%) rename {verilog => verible/verilog}/tools/project/BUILD (71%) rename {verilog => verible/verilog}/tools/project/README.md (100%) rename {verilog => verible/verilog}/tools/project/project-tool.cc (96%) rename {verilog => verible/verilog}/tools/project/project_tool_test.sh (100%) rename {verilog => verible/verilog}/tools/syntax/BUILD (52%) rename {verilog => verible/verilog}/tools/syntax/README.md (100%) rename {verilog => verible/verilog}/tools/syntax/export_json_examples/BUILD.example (70%) rename {verilog => verible/verilog}/tools/syntax/export_json_examples/README.md (100%) rename {verilog => verible/verilog}/tools/syntax/export_json_examples/print_modules.py (100%) rename {verilog => verible/verilog}/tools/syntax/export_json_examples/print_tree.py (100%) rename {verilog => verible/verilog}/tools/syntax/export_json_examples/verible_verilog_syntax.py (100%) rename {verilog => verible/verilog}/tools/syntax/export_json_examples/verible_verilog_syntax_test.py (100%) rename {verilog => verible/verilog}/tools/syntax/triage_parser.sh (100%) rename {verilog => verible/verilog}/tools/syntax/verilog-syntax.cc (91%) rename {verilog => verible/verilog}/tools/syntax/verilog_syntax_test.sh (100%) rename {verilog => verible/verilog}/transform/BUILD (56%) rename {verilog => verible/verilog}/transform/obfuscate.cc (93%) rename {verilog => verible/verilog}/transform/obfuscate.h (97%) rename {verilog => verible/verilog}/transform/obfuscate_test.cc (97%) rename {verilog => verible/verilog}/transform/strip-comments.cc (89%) rename {verilog => verible/verilog}/transform/strip-comments.h (100%) rename {verilog => verible/verilog}/transform/strip-comments_test.cc (99%) delete mode 100644 verilog/CST/BUILD delete mode 100644 verilog/analysis/checkers/BUILD delete mode 100644 verilog/formatting/BUILD delete mode 100644 verilog/preprocessor/BUILD delete mode 100644 verilog/tools/ls/BUILD diff --git a/.clang-tidy b/.clang-tidy index 51b8505c3..e21031934 100644 --- a/.clang-tidy +++ b/.clang-tidy @@ -97,4 +97,4 @@ Checks: > CheckOptions: - key: misc-include-cleaner.IgnoreHeaders - value: .*_linter_test_utils\.h + value: .*-linter-test-utils\.h diff --git a/.github/bin/check-potential-problems.sh b/.github/bin/check-potential-problems.sh index 798a412a5..5bce10cd5 100755 --- a/.github/bin/check-potential-problems.sh +++ b/.github/bin/check-potential-problems.sh @@ -26,7 +26,7 @@ EXIT_CODE=0 # # So, until these assumptions are fixed, we need to use absl::string_view that # comes with the same implementation everywhere. -find common verilog -name "*.h" -o -name "*.cc" | \ +find verible -name "*.h" -o -name "*.cc" | \ xargs grep -n "std::string_view" if [ $? -eq 0 ]; then echo "::error:: use absl::string_view instead of std::string_view" @@ -44,7 +44,7 @@ fi # is a good idea to move an implementation to a *.cc file anyway) # # TODO(hzeller): Arguably this might be good for common/util/logging.h as well. -find . -name "*.h" | xargs grep -n '#include "common/util/status_macros.h"' +find . -name "*.h" | xargs grep -n '#include "verible/common/util/status_macros.h"' if [ $? -eq 0 ]; then echo "::error:: using status_macros.h in a header pollutes global namespace." echo @@ -54,7 +54,7 @@ fi # Don't accidentally use anything from the verilog namespace in the common # verible namespace to make sure common stays independent. # Use of that namespace in a comment is ok, or if waived with // NOLINT -find common -name "*.h" -o -name "*.cc" | xargs grep "verilog::" \ +find verible/common -name "*.h" -o -name "*.cc" | xargs grep "verilog::" \ | egrep -v "(//.*verilog::|// NOLINT)" if [ $? -eq 0 ]; then echo "::error:: use of the verilog::-namespace inside common/" @@ -63,7 +63,7 @@ if [ $? -eq 0 ]; then fi # Always use fully qualified include paths. -find common verilog -name "*.h" -o -name "*.cc" | \ +find verible -name "*.h" -o -name "*.cc" | \ xargs egrep -n '#include "[^/]*"' if [ $? -eq 0 ]; then echo "::error:: always use a fully qualified name for #includes" @@ -71,7 +71,7 @@ if [ $? -eq 0 ]; then EXIT_CODE=1 fi -find common verilog -name "*.h" -o -name "*.cc" | grep _ | grep -v _test +find verible -name "*.h" -o -name "*.cc" | grep _ | grep -v _test if [ $? -eq 0 ]; then echo "::error:: File naming-convention for c++ files is to use dashes as separator with underscore only in test files; e.g. foo-bar_test.cc" echo @@ -91,7 +91,7 @@ if [ $? -eq 0 ]; then fi # Never use std::regex. -find common verilog -name "*.h" -o -name "*.cc" | \ +find verible -name "*.h" -o -name "*.cc" | \ xargs grep -n '#include ' if [ $? -eq 0 ]; then echo "::error:: Don't use stdlib regex, it is slow and requires exceptions. Use RE2 instead (https://github.com/google/re2; header #include \"re2/re2.h\")." diff --git a/.github/bin/error-log-analyzer.py b/.github/bin/error-log-analyzer.py index 775eca7e6..ae3a3eef1 100755 --- a/.github/bin/error-log-analyzer.py +++ b/.github/bin/error-log-analyzer.py @@ -529,7 +529,7 @@ def validate_slang(src, line, state, project, srcpath, err): # Give version string for verible proc = subprocess.run( [args.verible_path + - "/bazel-bin/verilog/tools/syntax/verible-verilog-syntax", + "/bazel-bin/verible/verilog/tools/syntax/verible-verilog-syntax", '--version'], stdout=subprocess.PIPE, stderr=subprocess.PIPE diff --git a/.github/bin/run-clang-tidy-cached.cc b/.github/bin/run-clang-tidy-cached.cc index 048df1d54..3dbfbe94c 100755 --- a/.github/bin/run-clang-tidy-cached.cc +++ b/.github/bin/run-clang-tidy-cached.cc @@ -114,8 +114,8 @@ static constexpr ConfigValues kConfig = { .cache_prefix = "verible_", .file_exclude_re = ".git/|.github/" // stuff we're not interested in "|vscode/" // some generated code in there - "|tree_operations_test" // very slow to process. - "|symbol_table_test", // ... + "|tree-operations_test" // very slow to process. + "|symbol-table_test", // ... .toplevel_build_file = "MODULE.bazel", .is_bazel_project = true, }; diff --git a/.github/bin/simple-install.sh b/.github/bin/simple-install.sh index 4b66e8f1e..d257eaa76 100755 --- a/.github/bin/simple-install.sh +++ b/.github/bin/simple-install.sh @@ -15,7 +15,7 @@ fi TARGET_DIR=$1 mkdir -p "${TARGET_DIR}" -BASE_DIR=bazel-bin/verilog/tools +BASE_DIR=bazel-bin/verible/verilog/tools for f in diff/verible-verilog-diff \ formatter/verible-verilog-format \ diff --git a/.github/bin/smoke-test.sh b/.github/bin/smoke-test.sh index 3676da161..a3d87af25 100755 --- a/.github/bin/smoke-test.sh +++ b/.github/bin/smoke-test.sh @@ -53,7 +53,7 @@ readonly TERM_RED=$'\033[1;31m' readonly TERM_BOLD=$'\033[1m' readonly TERM_RESET=$'\033[0m' -readonly BINARY_BASE_DIR=bazel-bin/verilog/tools +readonly BINARY_BASE_DIR=bazel-bin/verible/verilog/tools readonly ISSUE_PREFIX="https://github.com/chipsalliance/verible/issues" @@ -138,10 +138,11 @@ ExpectedFailCount[lint:ibex]=14 ExpectedFailCount[project:ibex]=211 ExpectedFailCount[preprocessor:ibex]=385 -ExpectedFailCount[syntax:opentitan]=53 -ExpectedFailCount[lint:opentitan]=53 -ExpectedFailCount[project:opentitan]=868 -ExpectedFailCount[preprocessor:opentitan]=2381 +ExpectedFailCount[syntax:opentitan]=61 +ExpectedFailCount[lint:opentitan]=61 +ExpectedFailCount[project:opentitan]=943 +ExpectedFailCount[formatter:opentitan]=1 +ExpectedFailCount[preprocessor:opentitan]=2555 ExpectedFailCount[syntax:sv-tests]=77 ExpectedFailCount[lint:sv-tests]=76 @@ -181,10 +182,10 @@ ExpectedFailCount[lint:XilinxUnisimLibrary]=4 ExpectedFailCount[project:XilinxUnisimLibrary]=22 ExpectedFailCount[preprocessor:XilinxUnisimLibrary]=96 -ExpectedFailCount[syntax:black-parrot]=160 -ExpectedFailCount[lint:black-parrot]=160 -ExpectedFailCount[project:black-parrot]=175 -ExpectedFailCount[preprocessor:black-parrot]=176 +ExpectedFailCount[syntax:black-parrot]=154 +ExpectedFailCount[lint:black-parrot]=154 +ExpectedFailCount[project:black-parrot]=169 +ExpectedFailCount[preprocessor:black-parrot]=170 ExpectedFailCount[syntax:ivtest]=166 ExpectedFailCount[lint:ivtest]=166 @@ -210,11 +211,11 @@ ExpectedFailCount[preprocessor:scr1]=46 ExpectedFailCount[project:serv]=1 ExpectedFailCount[preprocessor:serv]=1 -ExpectedFailCount[syntax:basejump_stl]=474 -ExpectedFailCount[lint:basejump_stl]=474 -ExpectedFailCount[project:basejump_stl]=588 +ExpectedFailCount[syntax:basejump_stl]=478 +ExpectedFailCount[lint:basejump_stl]=478 +ExpectedFailCount[project:basejump_stl]=593 ExpectedFailCount[formatter:basejump_stl]=1 -ExpectedFailCount[preprocessor:basejump_stl]=623 +ExpectedFailCount[preprocessor:basejump_stl]=629 ExpectedFailCount[syntax:opl3_fpga]=3 ExpectedFailCount[lint:opl3_fpga]=3 diff --git a/.github/workflows/verible-ci.yml b/.github/workflows/verible-ci.yml index f4b83770f..cfb9bdf08 100644 --- a/.github/workflows/verible-ci.yml +++ b/.github/workflows/verible-ci.yml @@ -141,10 +141,10 @@ jobs: # that makes it into the compile. Use bant itself to find genrules. bazel fetch ... bazel build $(bin/bant -q genrule-outputs | awk '{print $2}') \ - //common/analysis:command-file-lexer \ - //verilog/parser:verilog-lex \ - //verilog/parser:verilog-y \ - //verilog/parser:verilog-y-final + //verible/common/analysis:command-file-lexer \ + //verible/verilog/parser:verilog-lex \ + //verible/verilog/parser:verilog-y \ + //verible/verilog/parser:verilog-y-final - name: Run bant build-cleaner run: | @@ -386,7 +386,7 @@ jobs: MacOsBuild: # New MacOS has a broken patch utility: - # //verilog/tools/lint:lint-tool_test trips over a no-newline-at-end-of-file + # //verible/verilog/tools/lint:lint-tool_test trips over a no-newline-at-end-of-file runs-on: macos-12 steps: diff --git a/BUILD b/BUILD index 3f2719585..1ce84806b 100644 --- a/BUILD +++ b/BUILD @@ -23,26 +23,26 @@ license( filegroup( name = "install-binaries", srcs = [ - "//common/tools:verible-patch-tool", - "//verilog/tools/diff:verible-verilog-diff", - "//verilog/tools/formatter:verible-verilog-format", - "//verilog/tools/kythe:verible-verilog-kythe-extractor", - "//verilog/tools/kythe:verible-verilog-kythe-kzip-writer", - "//verilog/tools/lint:verible-verilog-lint", - "//verilog/tools/ls:verible-verilog-ls", - "//verilog/tools/obfuscator:verible-verilog-obfuscate", - "//verilog/tools/preprocessor:verible-verilog-preprocessor", - "//verilog/tools/project:verible-verilog-project", - "//verilog/tools/syntax:verible-verilog-syntax", + "//verible/common/tools:verible-patch-tool", + "//verible/verilog/tools/diff:verible-verilog-diff", + "//verible/verilog/tools/formatter:verible-verilog-format", + "//verible/verilog/tools/kythe:verible-verilog-kythe-extractor", + "//verible/verilog/tools/kythe:verible-verilog-kythe-kzip-writer", + "//verible/verilog/tools/lint:verible-verilog-lint", + "//verible/verilog/tools/ls:verible-verilog-ls", + "//verible/verilog/tools/obfuscator:verible-verilog-obfuscate", + "//verible/verilog/tools/preprocessor:verible-verilog-preprocessor", + "//verible/verilog/tools/project:verible-verilog-project", + "//verible/verilog/tools/syntax:verible-verilog-syntax", ], ) filegroup( name = "install-scripts", srcs = [ - "//common/tools:verible-transform-interactive", - "//verilog/tools/formatter:git-verilog-format", - "//verilog/tools/formatter:verible-verilog-format-changed-lines-interactive", + "//verible/common/tools:verible-transform-interactive", + "//verible/verilog/tools/formatter:git-verilog-format", + "//verible/verilog/tools/formatter:verible-verilog-format-changed-lines-interactive", ], ) @@ -55,9 +55,9 @@ alias( genrule( name = "lint_doc", outs = ["documentation_verible_lint_rules.md"], - cmd = "$(location //verilog/tools/lint:verible-verilog-lint) " + + cmd = "$(location //verible/verilog/tools/lint:verible-verilog-lint) " + "--generate_markdown > $(OUTS)", tools = [ - "//verilog/tools/lint:verible-verilog-lint", + "//verible/verilog/tools/lint:verible-verilog-lint", ], ) diff --git a/README.md b/README.md index 2418a153a..2fd27ca7f 100644 --- a/README.md +++ b/README.md @@ -189,8 +189,8 @@ bazel build -c opt //... You can access the generated artifacts under `bazel-bin/`. For instance the syntax checker will be at -`bazel-bin/verilog/tools/syntax/verible-verilog-syntax` (corresponding to the -target name `//verilog/tools/syntax:verible-verilog-syntax`). +`bazel-bin/verible/verilog/tools/syntax/verible-verilog-syntax` (corresponding to the +target name `//verible/verilog/tools/syntax:verible-verilog-syntax`). Moreover, if you need statically linked executables that don't depend on your shared libraries, you can use custom config diff --git a/doc/formatter.md b/doc/formatter.md index c053ec21b..574ed9d79 100644 --- a/doc/formatter.md +++ b/doc/formatter.md @@ -147,7 +147,7 @@ Real world [TreeUnwrapper] example: echo 'module m; initial a = b + c; endmodule' | \ VERIBLE_LOGTHRESHOLD=0 \ VERIBLE_VLOG_DETAIL=8 \ - bazel run //verilog/tools/formatter:verible-verilog-format -- -show_token_partition_tree - + bazel run //verible/verilog/tools/formatter:verible-verilog-format -- -show_token_partition_tree - ``` ### Alignment diff --git a/doc/indexing.md b/doc/indexing.md index 1fc7b2768..d4bab8964 100644 --- a/doc/indexing.md +++ b/doc/indexing.md @@ -52,7 +52,7 @@ renaming isn’t needed here but you can add the renaming you find suitable. bazel test --experimental_action_listener=:extract_cxx //... # run on specific target (e.g. some cc_binary or cc_library) -bazel test --experimental_action_listener=:extract_cxx //verilog/analysis:default_rules +bazel test --experimental_action_listener=:extract_cxx //verible/verilog/analysis:default_rules ``` Extracted kzip files will be in diff --git a/kythe-browse.sh b/kythe-browse.sh index 2a3448928..7f413e866 100755 --- a/kythe-browse.sh +++ b/kythe-browse.sh @@ -41,10 +41,10 @@ KYTHE_OUT="./kythe-out" # make sure to pass the correct public_resources directory to http_server. rm -f -- ${KYTHE_OUT}/graphstore/* ${KYTHE_OUT}/tables/* mkdir -p ${KYTHE_OUT}/graphstore ${KYTHE_OUT}/tables -bazel build -c opt //verilog/tools/kythe:all +bazel build -c opt //verible/verilog/tools/kythe:all # Read JSON entries from standard in to a graphstore. -bazel-bin/verilog/tools/kythe/verible-verilog-kythe-extractor --file_list_path "$1" --file_list_root "$2" --print_kythe_facts json --include_dir_paths "$3" > "${KYTHE_OUT}"/entries +bazel-bin/verible/verilog/tools/kythe/verible-verilog-kythe-extractor --file_list_path "$1" --file_list_root "$2" --print_kythe_facts json --include_dir_paths "$3" > "${KYTHE_OUT}"/entries # Write entry stream into a GraphStore "${KYTHE_BINDIR}"/entrystream --read_format=json < "${KYTHE_OUT}"/entries \ | "${KYTHE_BINDIR}"/write_entries -graphstore "${KYTHE_OUT}"/graphstore diff --git a/third_party/portable_endian/BUILD b/third_party/portable_endian/BUILD index a4b7c7c64..946de9d47 100644 --- a/third_party/portable_endian/BUILD +++ b/third_party/portable_endian/BUILD @@ -3,5 +3,5 @@ licenses(["unencumbered"]) cc_library( name = "portable_endian", hdrs = ["portable_endian.h"], - visibility = ["//common/util:__pkg__"], + visibility = ["//verible/common/util:__pkg__"], ) diff --git a/common/README.md b/verible/common/README.md similarity index 100% rename from common/README.md rename to verible/common/README.md diff --git a/common/analysis/BUILD b/verible/common/analysis/BUILD similarity index 62% rename from common/analysis/BUILD rename to verible/common/analysis/BUILD index 755c9c8da..fff60225c 100644 --- a/common/analysis/BUILD +++ b/verible/common/analysis/BUILD @@ -5,11 +5,11 @@ load("//bazel:flex.bzl", "genlex") package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//verilog/CST:__subpackages__", - "//verilog/analysis:__subpackages__", - "//verilog/tools/kythe:__pkg__", - "//verilog/tools/lint:__subpackages__", - "//verilog/tools/ls:__subpackages__", + "//verible/verilog/CST:__subpackages__", + "//verible/verilog/analysis:__subpackages__", + "//verible/verilog/tools/kythe:__pkg__", + "//verible/verilog/tools/lint:__subpackages__", + "//verible/verilog/tools/ls:__subpackages__", ], # Not yet enabled, lexer does not find FlexLexer.h #features = ["layering_check"], @@ -31,14 +31,14 @@ cc_library( hdrs = ["lint-rule-status.h"], deps = [ ":citation", - "//common/strings:line-column-map", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//common/util:spacer", + "//verible/common/strings:line-column-map", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/common/util:spacer", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -75,12 +75,12 @@ cc_library( }), deps = [ "//bazel:flex", - "//common/lexer:flex-lexer-adapter", - "//common/lexer:token-stream-adapter", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:iterator-range", - "//common/util:logging", + "//verible/common/lexer:flex-lexer-adapter", + "//verible/common/lexer:token-stream-adapter", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -91,10 +91,10 @@ cc_library( hdrs = ["violation-handler.h"], deps = [ ":lint-rule-status", - "//common/strings:diff", - "//common/util:file-util", - "//common/util:logging", - "//common/util:user-interaction", + "//verible/common/strings:diff", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/common/util:user-interaction", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -107,10 +107,10 @@ cc_test( srcs = ["command-file-lexer_test.cc"], deps = [ ":command-file-lexer", - "//common/lexer:lexer-test-util", - "//common/text:constants", - "//common/text:token-info", - "//common/text:token-info-test-util", + "//verible/common/lexer:lexer-test-util", + "//verible/common/text:constants", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -123,17 +123,17 @@ cc_library( hdrs = ["lint-waiver.h"], deps = [ ":command-file-lexer", - "//common/strings:comment-utils", - "//common/strings:line-column-map", - "//common/strings:position", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:container-iterator-range", - "//common/util:container-util", - "//common/util:file-util", - "//common/util:iterator-range", - "//common/util:logging", + "//verible/common/strings:comment-utils", + "//verible/common/strings:line-column-map", + "//verible/common/strings:position", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:container-iterator-range", + "//verible/common/util:container-util", + "//verible/common/util:file-util", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", "@com_google_absl//absl/container:flat_hash_map", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", @@ -148,17 +148,17 @@ cc_library( srcs = ["file-analyzer.cc"], hdrs = ["file-analyzer.h"], deps = [ - "//common/lexer", - "//common/lexer:token-stream-adapter", - "//common/parser:parse", - "//common/strings:line-column-map", - "//common/strings:mem-block", - "//common/text:concrete-syntax-tree", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:logging", - "//common/util:spacer", + "//verible/common/lexer", + "//verible/common/lexer:token-stream-adapter", + "//verible/common/parser:parse", + "//verible/common/strings:line-column-map", + "//verible/common/strings:mem-block", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:logging", + "//verible/common/util:spacer", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", ], @@ -171,10 +171,10 @@ cc_library( hdrs = ["linter-test-utils.h"], deps = [ ":lint-rule-status", - "//common/text:token-info", - "//common/text:token-info-test-util", - "//common/util:algorithm", - "//common/util:logging", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", + "//verible/common/util:algorithm", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -189,12 +189,12 @@ cc_library( hdrs = ["syntax-tree-search-test-utils.h"], deps = [ ":syntax-tree-search", - "//common/lexer:lexer-test-util", - "//common/text:token-info", - "//common/text:token-info-test-util", - "//common/text:tree-utils", - "//common/util:algorithm", - "//common/util:logging", + "//verible/common/lexer:lexer-test-util", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", + "//verible/common/text:tree-utils", + "//verible/common/util:algorithm", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -206,7 +206,7 @@ cc_library( deps = [ ":line-lint-rule", ":lint-rule-status", - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -220,8 +220,8 @@ cc_library( ":line-linter", ":lint-rule-status", ":linter-test-utils", - "//common/text:text-structure", - "//common/util:logging", + "//verible/common/text:text-structure", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -242,11 +242,11 @@ cc_library( deps = [ ":lint-rule-status", ":syntax-tree-lint-rule", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-context-visitor", - "//common/util:logging", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-context-visitor", + "//verible/common/util:logging", ], ) @@ -259,8 +259,8 @@ cc_library( ":linter-test-utils", ":syntax-tree-lint-rule", ":syntax-tree-linter", - "//common/text:text-structure", - "//common/util:logging", + "//verible/common/text:text-structure", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -270,10 +270,10 @@ cc_library( hdrs = ["syntax-tree-lint-rule.h"], deps = [ ":lint-rule", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", ], ) @@ -282,13 +282,13 @@ cc_library( srcs = ["syntax-tree-search.cc"], hdrs = ["syntax-tree-search.h"], deps = [ - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-context-visitor", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-context-visitor", ], ) @@ -299,8 +299,8 @@ cc_library( deps = [ ":lint-rule-status", ":text-structure-lint-rule", - "//common/text:text-structure", - "//common/util:logging", + "//verible/common/text:text-structure", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -314,8 +314,8 @@ cc_library( ":linter-test-utils", ":text-structure-lint-rule", ":text-structure-linter", - "//common/text:text-structure", - "//common/util:logging", + "//verible/common/text:text-structure", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -325,7 +325,7 @@ cc_library( hdrs = ["text-structure-lint-rule.h"], deps = [ ":lint-rule", - "//common/text:text-structure", + "//verible/common/text:text-structure", "@com_google_absl//absl/strings:string_view", ], ) @@ -337,8 +337,8 @@ cc_library( deps = [ ":lint-rule-status", ":token-stream-lint-rule", - "//common/text:token-stream-view", - "//common/util:logging", + "//verible/common/text:token-stream-view", + "//verible/common/util:logging", ], ) @@ -351,8 +351,8 @@ cc_library( ":linter-test-utils", ":token-stream-lint-rule", ":token-stream-linter", - "//common/text:text-structure", - "//common/util:logging", + "//verible/common/text:text-structure", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -362,7 +362,7 @@ cc_library( hdrs = ["token-stream-lint-rule.h"], deps = [ ":lint-rule", - "//common/text:token-info", + "//verible/common/text:token-info", ], ) @@ -371,9 +371,9 @@ cc_test( srcs = ["lint-rule-status_test.cc"], deps = [ ":lint-rule-status", - "//common/text:concrete-syntax-tree", - "//common/text:token-info", - "//common/text:tree-builder-test-util", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -386,11 +386,11 @@ cc_test( srcs = ["lint-waiver_test.cc"], deps = [ ":lint-waiver", - "//common/strings:line-column-map", - "//common/text:text-structure-test-utils", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:iterator-range", + "//verible/common/strings:line-column-map", + "//verible/common/text:text-structure-test-utils", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:iterator-range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -402,9 +402,9 @@ cc_test( srcs = ["file-analyzer_test.cc"], deps = [ ":file-analyzer", - "//common/strings:line-column-map", - "//common/text:text-structure", - "//common/text:token-info", + "//verible/common/strings:line-column-map", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -419,7 +419,7 @@ cc_test( deps = [ ":lint-rule-status", ":linter-test-utils", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -434,7 +434,7 @@ cc_test( ":line-lint-rule", ":line-linter", ":lint-rule-status", - "//common/text:token-info", + "//verible/common/text:token-info", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -448,13 +448,13 @@ cc_test( ":lint-rule-status", ":syntax-tree-lint-rule", ":syntax-tree-linter", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-builder-test-util", - "//common/util:casts", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:casts", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -466,11 +466,11 @@ cc_test( srcs = ["syntax-tree-search_test.cc"], deps = [ ":syntax-tree-search", - "//common/analysis/matcher:matcher-builders", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-builder-test-util", - "//common/text:tree-utils", + "//verible/common/analysis/matcher:matcher-builders", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-builder-test-util", + "//verible/common/text:tree-utils", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -482,8 +482,8 @@ cc_test( deps = [ ":syntax-tree-search", ":syntax-tree-search-test-utils", - "//common/text:tree-builder-test-util", - "//common/util:range", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:range", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -498,8 +498,8 @@ cc_test( ":lint-rule-status", ":text-structure-lint-rule", ":text-structure-linter", - "//common/text:text-structure", - "//common/text:token-info", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -514,8 +514,8 @@ cc_test( ":lint-rule-status", ":token-stream-lint-rule", ":token-stream-linter", - "//common/text:token-info", - "//common/text:token-stream-view", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", diff --git a/common/analysis/README.md b/verible/common/analysis/README.md similarity index 100% rename from common/analysis/README.md rename to verible/common/analysis/README.md diff --git a/common/analysis/citation.cc b/verible/common/analysis/citation.cc similarity index 94% rename from common/analysis/citation.cc rename to verible/common/analysis/citation.cc index c71836911..ef8d23f2b 100644 --- a/common/analysis/citation.cc +++ b/verible/common/analysis/citation.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/citation.h" +#include "verible/common/analysis/citation.h" #include diff --git a/common/analysis/citation.h b/verible/common/analysis/citation.h similarity index 100% rename from common/analysis/citation.h rename to verible/common/analysis/citation.h diff --git a/common/analysis/command-file-lexer.cc b/verible/common/analysis/command-file-lexer.cc similarity index 88% rename from common/analysis/command-file-lexer.cc rename to verible/common/analysis/command-file-lexer.cc index d1ad3edf1..bf6086658 100644 --- a/common/analysis/command-file-lexer.cc +++ b/verible/common/analysis/command-file-lexer.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/command-file-lexer.h" +#include "verible/common/analysis/command-file-lexer.h" #include #include #include "absl/strings/string_view.h" -#include "common/lexer/token-stream-adapter.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/lexer/token-stream-adapter.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/command-file-lexer.h b/verible/common/analysis/command-file-lexer.h similarity index 93% rename from common/analysis/command-file-lexer.h rename to verible/common/analysis/command-file-lexer.h index eacf7ef8f..619c4ff35 100644 --- a/common/analysis/command-file-lexer.h +++ b/verible/common/analysis/command-file-lexer.h @@ -22,9 +22,9 @@ // lint_waiver_config.lex has "%prefix=verible", meaning the class flex // creates is veribleFlexLexer. Unfortunately, FlexLexer.h doesn't have proper // ifdefs around its inclusion, so we have to put a bar around it here. -#include "common/lexer/flex-lexer-adapter.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" +#include "verible/common/lexer/flex-lexer-adapter.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" // clang-format off #ifndef _COMMANDFILE_FLEXLEXER_H_ diff --git a/common/analysis/command-file-lexer_test.cc b/verible/common/analysis/command-file-lexer_test.cc similarity index 91% rename from common/analysis/command-file-lexer_test.cc rename to verible/common/analysis/command-file-lexer_test.cc index e090e2a39..4e79643e2 100644 --- a/common/analysis/command-file-lexer_test.cc +++ b/verible/common/analysis/command-file-lexer_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/command-file-lexer.h" +#include "verible/common/analysis/command-file-lexer.h" #include #include #include "absl/strings/string_view.h" -#include "common/lexer/lexer-test-util.h" -#include "common/text/constants.h" -#include "common/text/token-info-test-util.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/lexer/lexer-test-util.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/analysis/command_file.lex b/verible/common/analysis/command_file.lex similarity index 98% rename from common/analysis/command_file.lex rename to verible/common/analysis/command_file.lex index 03e1e1f95..dc2c6d856 100644 --- a/common/analysis/command_file.lex +++ b/verible/common/analysis/command_file.lex @@ -15,7 +15,7 @@ %{ #define _COMMANDFILE_FLEXLEXER_H_ -#include "common/analysis/command-file-lexer.h" +#include "verible/common/analysis/command-file-lexer.h" #define yy_set_top_state(state) { yy_pop_state(); yy_push_state(state); } %} diff --git a/common/analysis/file-analyzer.cc b/verible/common/analysis/file-analyzer.cc similarity index 92% rename from common/analysis/file-analyzer.cc rename to verible/common/analysis/file-analyzer.cc index e271457ab..64782410b 100644 --- a/common/analysis/file-analyzer.cc +++ b/verible/common/analysis/file-analyzer.cc @@ -14,7 +14,7 @@ // Implementation of FileAnalyzer methods. -#include "common/analysis/file-analyzer.h" +#include "verible/common/analysis/file-analyzer.h" #include #include @@ -24,16 +24,16 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lexer/lexer.h" -#include "common/lexer/token-stream-adapter.h" -#include "common/parser/parse.h" -#include "common/strings/line-column-map.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/lexer/token-stream-adapter.h" +#include "verible/common/parser/parse.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" namespace verible { diff --git a/common/analysis/file-analyzer.h b/verible/common/analysis/file-analyzer.h similarity index 94% rename from common/analysis/file-analyzer.h rename to verible/common/analysis/file-analyzer.h index 4f50ad647..220849db8 100644 --- a/common/analysis/file-analyzer.h +++ b/verible/common/analysis/file-analyzer.h @@ -48,14 +48,14 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lexer/lexer.h" -#include "common/parser/parse.h" -#include "common/strings/line-column-map.h" -#include "common/strings/mem-block.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/parser/parse.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/file-analyzer_test.cc b/verible/common/analysis/file-analyzer_test.cc similarity index 98% rename from common/analysis/file-analyzer_test.cc rename to verible/common/analysis/file-analyzer_test.cc index e58e268f0..9cebd72f8 100644 --- a/common/analysis/file-analyzer_test.cc +++ b/verible/common/analysis/file-analyzer_test.cc @@ -14,7 +14,7 @@ // Unit tests for FileAnalyzer -#include "common/analysis/file-analyzer.h" +#include "verible/common/analysis/file-analyzer.h" #include #include @@ -22,10 +22,10 @@ #include "absl/status/status.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/analysis/line-lint-rule.h b/verible/common/analysis/line-lint-rule.h similarity index 96% rename from common/analysis/line-lint-rule.h rename to verible/common/analysis/line-lint-rule.h index 0ca80e049..e3b6d3de0 100644 --- a/common/analysis/line-lint-rule.h +++ b/verible/common/analysis/line-lint-rule.h @@ -20,7 +20,7 @@ #define VERIBLE_COMMON_ANALYSIS_LINE_LINT_RULE_H_ #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule.h" +#include "verible/common/analysis/lint-rule.h" namespace verible { diff --git a/common/analysis/line-linter-test-utils.h b/verible/common/analysis/line-linter-test-utils.h similarity index 82% rename from common/analysis/line-linter-test-utils.h rename to verible/common/analysis/line-linter-test-utils.h index 7c776d32d..ab7a0d13f 100644 --- a/common/analysis/line-linter-test-utils.h +++ b/verible/common/analysis/line-linter-test-utils.h @@ -20,12 +20,12 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/line-linter.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/linter-test-utils.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/line-linter.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/line-linter.cc b/verible/common/analysis/line-linter.cc similarity index 87% rename from common/analysis/line-linter.cc rename to verible/common/analysis/line-linter.cc index 7e65df71b..35ef12300 100644 --- a/common/analysis/line-linter.cc +++ b/verible/common/analysis/line-linter.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/line-linter.h" +#include "verible/common/analysis/line-linter.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" -#include "common/util/logging.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/line-linter.h b/verible/common/analysis/line-linter.h similarity index 93% rename from common/analysis/line-linter.h rename to verible/common/analysis/line-linter.h index cdc0b691f..4c7a3d4c3 100644 --- a/common/analysis/line-linter.h +++ b/verible/common/analysis/line-linter.h @@ -24,8 +24,8 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" namespace verible { diff --git a/common/analysis/line-linter_test.cc b/verible/common/analysis/line-linter_test.cc similarity index 95% rename from common/analysis/line-linter_test.cc rename to verible/common/analysis/line-linter_test.cc index b472ec731..07348f30c 100644 --- a/common/analysis/line-linter_test.cc +++ b/verible/common/analysis/line-linter_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/line-linter.h" +#include "verible/common/analysis/line-linter.h" #include #include @@ -20,11 +20,11 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/token-info.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/analysis/lint-rule-status.cc b/verible/common/analysis/lint-rule-status.cc similarity index 94% rename from common/analysis/lint-rule-status.cc rename to verible/common/analysis/lint-rule-status.cc index bc316723f..15f895f5e 100644 --- a/common/analysis/lint-rule-status.cc +++ b/verible/common/analysis/lint-rule-status.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/lint-rule-status.h" +#include "verible/common/analysis/lint-rule-status.h" #include #include @@ -28,14 +28,14 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_replace.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" namespace verible { diff --git a/common/analysis/lint-rule-status.h b/verible/common/analysis/lint-rule-status.h similarity index 97% rename from common/analysis/lint-rule-status.h rename to verible/common/analysis/lint-rule-status.h index 2d8acbb62..18e6a133a 100644 --- a/common/analysis/lint-rule-status.h +++ b/verible/common/analysis/lint-rule-status.h @@ -25,12 +25,12 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/citation.h" -#include "common/strings/line-column-map.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/analysis/citation.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/lint-rule-status_test.cc b/verible/common/analysis/lint-rule-status_test.cc similarity index 98% rename from common/analysis/lint-rule-status_test.cc rename to verible/common/analysis/lint-rule-status_test.cc index bd88eb084..ad9eeaf2e 100644 --- a/common/analysis/lint-rule-status_test.cc +++ b/verible/common/analysis/lint-rule-status_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/lint-rule-status.h" +#include "verible/common/analysis/lint-rule-status.h" #include #include @@ -24,10 +24,10 @@ #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" namespace verible { namespace { diff --git a/common/analysis/lint-rule.h b/verible/common/analysis/lint-rule.h similarity index 97% rename from common/analysis/lint-rule.h rename to verible/common/analysis/lint-rule.h index b715a6e0b..c0e835eac 100644 --- a/common/analysis/lint-rule.h +++ b/verible/common/analysis/lint-rule.h @@ -20,7 +20,7 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" +#include "verible/common/analysis/lint-rule-status.h" namespace verible { diff --git a/common/analysis/lint-waiver.cc b/verible/common/analysis/lint-waiver.cc similarity index 96% rename from common/analysis/lint-waiver.cc rename to verible/common/analysis/lint-waiver.cc index 961d9f378..60a851484 100644 --- a/common/analysis/lint-waiver.cc +++ b/verible/common/analysis/lint-waiver.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/lint-waiver.h" +#include "verible/common/analysis/lint-waiver.h" #include #include @@ -31,19 +31,19 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/command-file-lexer.h" -#include "common/strings/comment-utils.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/container-iterator-range.h" -#include "common/util/container-util.h" -#include "common/util/file-util.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" #include "re2/re2.h" +#include "verible/common/analysis/command-file-lexer.h" +#include "verible/common/strings/comment-utils.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/lint-waiver.h b/verible/common/analysis/lint-waiver.h similarity index 97% rename from common/analysis/lint-waiver.h rename to verible/common/analysis/lint-waiver.h index 2eee7de3a..e7c92da2b 100644 --- a/common/analysis/lint-waiver.h +++ b/verible/common/analysis/lint-waiver.h @@ -25,12 +25,12 @@ #include "absl/container/flat_hash_map.h" #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" -#include "common/text/text-structure.h" -#include "common/text/token-stream-view.h" -#include "common/util/container-util.h" #include "re2/re2.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/container-util.h" namespace verible { diff --git a/common/analysis/lint-waiver_test.cc b/verible/common/analysis/lint-waiver_test.cc similarity index 99% rename from common/analysis/lint-waiver_test.cc rename to verible/common/analysis/lint-waiver_test.cc index cb5d649cf..aa710ada4 100644 --- a/common/analysis/lint-waiver_test.cc +++ b/verible/common/analysis/lint-waiver_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/lint-waiver.h" +#include "verible/common/analysis/lint-waiver.h" #include #include #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/text/text-structure-test-utils.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/iterator-range.h" #include "gtest/gtest.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/text-structure-test-utils.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/iterator-range.h" #undef EXPECT_OK #undef EXPECT_NOK diff --git a/common/analysis/linter-test-utils.cc b/verible/common/analysis/linter-test-utils.cc similarity index 94% rename from common/analysis/linter-test-utils.cc rename to verible/common/analysis/linter-test-utils.cc index 1e369bb94..17f8b0dd5 100644 --- a/common/analysis/linter-test-utils.cc +++ b/verible/common/analysis/linter-test-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/linter-test-utils.h" +#include "verible/common/analysis/linter-test-utils.h" #include #include @@ -20,9 +20,9 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/token-info.h" -#include "common/util/algorithm.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/algorithm.h" namespace verible { diff --git a/common/analysis/linter-test-utils.h b/verible/common/analysis/linter-test-utils.h similarity index 97% rename from common/analysis/linter-test-utils.h rename to verible/common/analysis/linter-test-utils.h index c70aa95d8..6d04a5602 100644 --- a/common/analysis/linter-test-utils.h +++ b/verible/common/analysis/linter-test-utils.h @@ -26,10 +26,10 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/token-info-test-util.h" -#include "common/util/logging.h" #include "gtest/gtest.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/linter-test-utils_test.cc b/verible/common/analysis/linter-test-utils_test.cc similarity index 97% rename from common/analysis/linter-test-utils_test.cc rename to verible/common/analysis/linter-test-utils_test.cc index ef46747f8..b608a13c0 100644 --- a/common/analysis/linter-test-utils_test.cc +++ b/verible/common/analysis/linter-test-utils_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/linter-test-utils.h" +#include "verible/common/analysis/linter-test-utils.h" #include #include @@ -20,9 +20,9 @@ #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/analysis/matcher/BUILD b/verible/common/analysis/matcher/BUILD similarity index 59% rename from common/analysis/matcher/BUILD rename to verible/common/analysis/matcher/BUILD index 22b9e7a3e..50f6bcf71 100644 --- a/common/analysis/matcher/BUILD +++ b/verible/common/analysis/matcher/BUILD @@ -3,10 +3,10 @@ package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//common/analysis:__subpackages__", - "//common/parser:__subpackages__", # for parser_test_util - "//verilog/CST:__subpackages__", - "//verilog/analysis:__subpackages__", + "//verible/common/analysis:__subpackages__", + "//verible/common/parser:__subpackages__", # for parser_test_util + "//verible/verilog/CST:__subpackages__", + "//verible/verilog/analysis:__subpackages__", ], features = ["layering_check"], ) @@ -16,10 +16,10 @@ cc_library( srcs = ["bound-symbol-manager.cc"], hdrs = ["bound-symbol-manager.h"], deps = [ - "//common/text:symbol", - "//common/util:casts", - "//common/util:container-util", - "//common/util:logging", + "//verible/common/text:symbol", + "//verible/common/util:casts", + "//verible/common/util:container-util", + "//verible/common/util:logging", ], ) @@ -29,7 +29,7 @@ cc_library( hdrs = ["matcher.h"], deps = [ ":bound-symbol-manager", - "//common/text:symbol", + "//verible/common/text:symbol", "@com_google_absl//absl/types:optional", ], ) @@ -42,11 +42,11 @@ cc_test( ":inner-match-handlers", ":matcher", ":matcher-builders", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-builder-test-util", - "//common/util:casts", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:casts", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -58,7 +58,7 @@ cc_library( deps = [ ":inner-match-handlers", ":matcher", - "//common/text:symbol", + "//verible/common/text:symbol", ], ) @@ -71,8 +71,8 @@ cc_test( ":matcher", ":matcher-builders", ":matcher-test-utils", - "//common/text:symbol", - "//common/text:tree-builder-test-util", + "//verible/common/text:symbol", + "//verible/common/text:tree-builder-test-util", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -85,8 +85,8 @@ cc_library( deps = [ ":bound-symbol-manager", ":matcher", - "//common/text:symbol", - "//common/util:logging", + "//verible/common/text:symbol", + "//verible/common/util:logging", ], ) @@ -97,7 +97,7 @@ cc_library( ":descent-path", ":inner-match-handlers", ":matcher", - "//common/text:symbol", + "//verible/common/text:symbol", ], ) @@ -109,11 +109,11 @@ cc_test( ":matcher", ":matcher-builders", ":matcher-test-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-builder-test-util", - "//common/util:casts", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:casts", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -124,9 +124,9 @@ cc_library( srcs = ["descent-path.cc"], hdrs = ["descent-path.h"], deps = [ - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/util:casts", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/util:casts", ], ) @@ -135,12 +135,12 @@ cc_test( srcs = ["descent-path_test.cc"], deps = [ ":descent-path", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-builder-test-util", - "//common/util:casts", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:casts", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -154,11 +154,11 @@ cc_library( deps = [ ":bound-symbol-manager", ":matcher", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - "//common/text:visitors", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + "//verible/common/text:visitors", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", # for library testonly diff --git a/common/analysis/matcher/README.md b/verible/common/analysis/matcher/README.md similarity index 100% rename from common/analysis/matcher/README.md rename to verible/common/analysis/matcher/README.md diff --git a/common/analysis/matcher/bound-symbol-manager.cc b/verible/common/analysis/matcher/bound-symbol-manager.cc similarity index 86% rename from common/analysis/matcher/bound-symbol-manager.cc rename to verible/common/analysis/matcher/bound-symbol-manager.cc index b54b8909a..3e7c9639a 100644 --- a/common/analysis/matcher/bound-symbol-manager.cc +++ b/verible/common/analysis/matcher/bound-symbol-manager.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" #include #include -#include "common/text/symbol.h" -#include "common/util/container-util.h" -#include "common/util/logging.h" +#include "verible/common/text/symbol.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/logging.h" using verible::container::FindOrNull; diff --git a/common/analysis/matcher/bound-symbol-manager.h b/verible/common/analysis/matcher/bound-symbol-manager.h similarity index 96% rename from common/analysis/matcher/bound-symbol-manager.h rename to verible/common/analysis/matcher/bound-symbol-manager.h index 6bf705bc8..0feca1410 100644 --- a/common/analysis/matcher/bound-symbol-manager.h +++ b/verible/common/analysis/matcher/bound-symbol-manager.h @@ -18,8 +18,8 @@ #include #include -#include "common/text/symbol.h" -#include "common/util/casts.h" +#include "verible/common/text/symbol.h" +#include "verible/common/util/casts.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/core-matchers.h b/verible/common/analysis/matcher/core-matchers.h similarity index 97% rename from common/analysis/matcher/core-matchers.h rename to verible/common/analysis/matcher/core-matchers.h index b694ac320..a59008f51 100644 --- a/common/analysis/matcher/core-matchers.h +++ b/verible/common/analysis/matcher/core-matchers.h @@ -17,9 +17,9 @@ #include -#include "common/analysis/matcher/inner-match-handlers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/matcher/inner-match-handlers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/core-matchers_test.cc b/verible/common/analysis/matcher/core-matchers_test.cc similarity index 96% rename from common/analysis/matcher/core-matchers_test.cc rename to verible/common/analysis/matcher/core-matchers_test.cc index 35b5b3215..d96c87010 100644 --- a/common/analysis/matcher/core-matchers_test.cc +++ b/verible/common/analysis/matcher/core-matchers_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/core-matchers.h" +#include "verible/common/analysis/matcher/core-matchers.h" #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher-builders.h" -#include "common/analysis/matcher/matcher-test-utils.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/tree-builder-test-util.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/analysis/matcher/matcher-test-utils.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-builder-test-util.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/descent-path.cc b/verible/common/analysis/matcher/descent-path.cc similarity index 94% rename from common/analysis/matcher/descent-path.cc rename to verible/common/analysis/matcher/descent-path.cc index caeecf122..c4f125759 100644 --- a/common/analysis/matcher/descent-path.cc +++ b/verible/common/analysis/matcher/descent-path.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/descent-path.h" +#include "verible/common/analysis/matcher/descent-path.h" #include #include -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/util/casts.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/util/casts.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/descent-path.h b/verible/common/analysis/matcher/descent-path.h similarity index 97% rename from common/analysis/matcher/descent-path.h rename to verible/common/analysis/matcher/descent-path.h index 40f63fa5f..78811edd5 100644 --- a/common/analysis/matcher/descent-path.h +++ b/verible/common/analysis/matcher/descent-path.h @@ -17,7 +17,7 @@ #include -#include "common/text/symbol.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/descent-path_test.cc b/verible/common/analysis/matcher/descent-path_test.cc similarity index 91% rename from common/analysis/matcher/descent-path_test.cc rename to verible/common/analysis/matcher/descent-path_test.cc index 17ce4ec5e..a09a65761 100644 --- a/common/analysis/matcher/descent-path_test.cc +++ b/verible/common/analysis/matcher/descent-path_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/descent-path.h" +#include "verible/common/analysis/matcher/descent-path.h" #include #include -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/casts.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/casts.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/inner-match-handlers.cc b/verible/common/analysis/matcher/inner-match-handlers.cc similarity index 92% rename from common/analysis/matcher/inner-match-handlers.cc rename to verible/common/analysis/matcher/inner-match-handlers.cc index fcd402373..776adadcd 100644 --- a/common/analysis/matcher/inner-match-handlers.cc +++ b/verible/common/analysis/matcher/inner-match-handlers.cc @@ -14,10 +14,10 @@ #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/util/logging.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/util/logging.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/inner-match-handlers.h b/verible/common/analysis/matcher/inner-match-handlers.h similarity index 94% rename from common/analysis/matcher/inner-match-handlers.h rename to verible/common/analysis/matcher/inner-match-handlers.h index febe37555..0673db55e 100644 --- a/common/analysis/matcher/inner-match-handlers.h +++ b/verible/common/analysis/matcher/inner-match-handlers.h @@ -17,9 +17,9 @@ #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher-builders.h b/verible/common/analysis/matcher/matcher-builders.h similarity index 97% rename from common/analysis/matcher/matcher-builders.h rename to verible/common/analysis/matcher/matcher-builders.h index 24cdce47f..cf07ff3b3 100644 --- a/common/analysis/matcher/matcher-builders.h +++ b/verible/common/analysis/matcher/matcher-builders.h @@ -18,10 +18,10 @@ #include #include -#include "common/analysis/matcher/descent-path.h" -#include "common/analysis/matcher/inner-match-handlers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/matcher/descent-path.h" +#include "verible/common/analysis/matcher/inner-match-handlers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher-builders_test.cc b/verible/common/analysis/matcher/matcher-builders_test.cc similarity index 94% rename from common/analysis/matcher/matcher-builders_test.cc rename to verible/common/analysis/matcher/matcher-builders_test.cc index ea0cbdd76..33bb5f358 100644 --- a/common/analysis/matcher/matcher-builders_test.cc +++ b/verible/common/analysis/matcher/matcher-builders_test.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/matcher-builders.h" +#include "verible/common/analysis/matcher/matcher-builders.h" #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher-test-utils.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/casts.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher-test-utils.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/casts.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher-test-utils.cc b/verible/common/analysis/matcher/matcher-test-utils.cc similarity index 86% rename from common/analysis/matcher/matcher-test-utils.cc rename to verible/common/analysis/matcher/matcher-test-utils.cc index fd62027a7..312f59fe3 100644 --- a/common/analysis/matcher/matcher-test-utils.cc +++ b/verible/common/analysis/matcher/matcher-test-utils.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/matcher-test-utils.h" +#include "verible/common/analysis/matcher/matcher-test-utils.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "common/text/visitors.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/text/visitors.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher-test-utils.h b/verible/common/analysis/matcher/matcher-test-utils.h similarity index 94% rename from common/analysis/matcher/matcher-test-utils.h rename to verible/common/analysis/matcher/matcher-test-utils.h index a9dcf2b9b..282c79eee 100644 --- a/common/analysis/matcher/matcher-test-utils.h +++ b/verible/common/analysis/matcher/matcher-test-utils.h @@ -20,10 +20,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher.cc b/verible/common/analysis/matcher/matcher.cc similarity index 91% rename from common/analysis/matcher/matcher.cc rename to verible/common/analysis/matcher/matcher.cc index 20a91937d..b496bc093 100644 --- a/common/analysis/matcher/matcher.cc +++ b/verible/common/analysis/matcher/matcher.cc @@ -13,12 +13,12 @@ // limitations under the License. // Implementation of matcher.h -#include "common/analysis/matcher/matcher.h" +#include "verible/common/analysis/matcher/matcher.h" #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher.h b/verible/common/analysis/matcher/matcher.h similarity index 97% rename from common/analysis/matcher/matcher.h rename to verible/common/analysis/matcher/matcher.h index c29cd1e0c..bd737c75a 100644 --- a/common/analysis/matcher/matcher.h +++ b/verible/common/analysis/matcher/matcher.h @@ -21,8 +21,8 @@ #include #include "absl/types/optional.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/text/symbol.h" namespace verible { namespace matcher { diff --git a/common/analysis/matcher/matcher_test.cc b/verible/common/analysis/matcher/matcher_test.cc similarity index 93% rename from common/analysis/matcher/matcher_test.cc rename to verible/common/analysis/matcher/matcher_test.cc index 61daf72d2..837e95fc5 100644 --- a/common/analysis/matcher/matcher_test.cc +++ b/verible/common/analysis/matcher/matcher_test.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/matcher/matcher.h" +#include "verible/common/analysis/matcher/matcher.h" #include #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/inner-match-handlers.h" -#include "common/analysis/matcher/matcher-builders.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/casts.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/inner-match-handlers.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/casts.h" namespace verible { namespace matcher { diff --git a/common/analysis/syntax-tree-lint-rule.h b/verible/common/analysis/syntax-tree-lint-rule.h similarity index 88% rename from common/analysis/syntax-tree-lint-rule.h rename to verible/common/analysis/syntax-tree-lint-rule.h index 8b26a3ca5..a8a5595a4 100644 --- a/common/analysis/syntax-tree-lint-rule.h +++ b/verible/common/analysis/syntax-tree-lint-rule.h @@ -15,11 +15,11 @@ #ifndef VERIBLE_COMMON_ANALYSIS_SYNTAX_TREE_LINT_RULE_H_ #define VERIBLE_COMMON_ANALYSIS_SYNTAX_TREE_LINT_RULE_H_ -#include "common/analysis/lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" +#include "verible/common/analysis/lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" namespace verible { diff --git a/common/analysis/syntax-tree-linter-test-utils.h b/verible/common/analysis/syntax-tree-linter-test-utils.h similarity index 81% rename from common/analysis/syntax-tree-linter-test-utils.h rename to verible/common/analysis/syntax-tree-linter-test-utils.h index 85bb1ca7b..329e64822 100644 --- a/common/analysis/syntax-tree-linter-test-utils.h +++ b/verible/common/analysis/syntax-tree-linter-test-utils.h @@ -20,12 +20,12 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-lint-rule.h" // IWYU pragma: export -#include "common/analysis/syntax-tree-linter.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" // IWYU pragma: export +#include "verible/common/analysis/syntax-tree-linter.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/syntax-tree-linter.cc b/verible/common/analysis/syntax-tree-linter.cc similarity index 82% rename from common/analysis/syntax-tree-linter.cc rename to verible/common/analysis/syntax-tree-linter.cc index d9ded8151..90ec142bc 100644 --- a/common/analysis/syntax-tree-linter.cc +++ b/verible/common/analysis/syntax-tree-linter.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/syntax-tree-linter.h" +#include "verible/common/analysis/syntax-tree-linter.h" #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-context-visitor.h" -#include "common/util/logging.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/syntax-tree-linter.h b/verible/common/analysis/syntax-tree-linter.h similarity index 87% rename from common/analysis/syntax-tree-linter.h rename to verible/common/analysis/syntax-tree-linter.h index d5a4707b6..546393d5d 100644 --- a/common/analysis/syntax-tree-linter.h +++ b/verible/common/analysis/syntax-tree-linter.h @@ -23,12 +23,12 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-context-visitor.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-context-visitor.h" namespace verible { diff --git a/common/analysis/syntax-tree-linter_test.cc b/verible/common/analysis/syntax-tree-linter_test.cc similarity index 94% rename from common/analysis/syntax-tree-linter_test.cc rename to verible/common/analysis/syntax-tree-linter_test.cc index 900e18f08..eb6383e50 100644 --- a/common/analysis/syntax-tree-linter_test.cc +++ b/verible/common/analysis/syntax-tree-linter_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/syntax-tree-linter.h" +#include "verible/common/analysis/syntax-tree-linter.h" #include #include @@ -20,16 +20,16 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/casts.h" #include "gtest/gtest.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/casts.h" namespace verible { namespace { diff --git a/common/analysis/syntax-tree-search-test-utils.cc b/verible/common/analysis/syntax-tree-search-test-utils.cc similarity index 93% rename from common/analysis/syntax-tree-search-test-utils.cc rename to verible/common/analysis/syntax-tree-search-test-utils.cc index a2540bad0..eaea4ebaa 100644 --- a/common/analysis/syntax-tree-search-test-utils.cc +++ b/verible/common/analysis/syntax-tree-search-test-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" #include #include @@ -20,11 +20,11 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/algorithm.h" -#include "common/util/logging.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/algorithm.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/syntax-tree-search-test-utils.h b/verible/common/analysis/syntax-tree-search-test-utils.h similarity index 93% rename from common/analysis/syntax-tree-search-test-utils.h rename to verible/common/analysis/syntax-tree-search-test-utils.h index a0ef902c7..641f3a5ee 100644 --- a/common/analysis/syntax-tree-search-test-utils.h +++ b/verible/common/analysis/syntax-tree-search-test-utils.h @@ -20,9 +20,9 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/lexer/lexer-test-util.h" -#include "common/text/token-info-test-util.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/lexer/lexer-test-util.h" +#include "verible/common/text/token-info-test-util.h" namespace verible { diff --git a/common/analysis/syntax-tree-search-test-utils_test.cc b/verible/common/analysis/syntax-tree-search-test-utils_test.cc similarity index 97% rename from common/analysis/syntax-tree-search-test-utils_test.cc rename to verible/common/analysis/syntax-tree-search-test-utils_test.cc index 11770d2ee..076c47f76 100644 --- a/common/analysis/syntax-tree-search-test-utils_test.cc +++ b/verible/common/analysis/syntax-tree-search-test-utils_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" #include #include @@ -20,10 +20,10 @@ #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/analysis/syntax-tree-search.cc b/verible/common/analysis/syntax-tree-search.cc similarity index 87% rename from common/analysis/syntax-tree-search.cc rename to verible/common/analysis/syntax-tree-search.cc index b5b2e6822..e7bf6a392 100644 --- a/common/analysis/syntax-tree-search.cc +++ b/verible/common/analysis/syntax-tree-search.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/syntax-tree-search.h" +#include "verible/common/analysis/syntax-tree-search.h" #include #include -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-context-visitor.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-context-visitor.h" namespace verible { namespace { diff --git a/common/analysis/syntax-tree-search.h b/verible/common/analysis/syntax-tree-search.h similarity index 93% rename from common/analysis/syntax-tree-search.h rename to verible/common/analysis/syntax-tree-search.h index 644926b57..8990ef535 100644 --- a/common/analysis/syntax-tree-search.h +++ b/verible/common/analysis/syntax-tree-search.h @@ -18,9 +18,9 @@ #include #include -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" namespace verible { diff --git a/common/analysis/syntax-tree-search_test.cc b/verible/common/analysis/syntax-tree-search_test.cc similarity index 93% rename from common/analysis/syntax-tree-search_test.cc rename to verible/common/analysis/syntax-tree-search_test.cc index 6efb2a509..2f356bd7a 100644 --- a/common/analysis/syntax-tree-search_test.cc +++ b/verible/common/analysis/syntax-tree-search_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/syntax-tree-search.h" +#include "verible/common/analysis/syntax-tree-search.h" #include #include -#include "common/analysis/matcher/matcher-builders.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-builder-test-util.h" -#include "common/text/tree-utils.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-utils.h" namespace verible { namespace { diff --git a/common/analysis/text-structure-lint-rule.h b/verible/common/analysis/text-structure-lint-rule.h similarity index 95% rename from common/analysis/text-structure-lint-rule.h rename to verible/common/analysis/text-structure-lint-rule.h index 65488bdd1..9263ab8d7 100644 --- a/common/analysis/text-structure-lint-rule.h +++ b/verible/common/analysis/text-structure-lint-rule.h @@ -31,8 +31,8 @@ #define VERIBLE_COMMON_ANALYSIS_TEXT_STRUCTURE_LINT_RULE_H_ #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule.h" -#include "common/text/text-structure.h" +#include "verible/common/analysis/lint-rule.h" +#include "verible/common/text/text-structure.h" namespace verible { diff --git a/common/analysis/text-structure-linter-test-utils.h b/verible/common/analysis/text-structure-linter-test-utils.h similarity index 82% rename from common/analysis/text-structure-linter-test-utils.h rename to verible/common/analysis/text-structure-linter-test-utils.h index 10fe7c20f..4b65f9173 100644 --- a/common/analysis/text-structure-linter-test-utils.h +++ b/verible/common/analysis/text-structure-linter-test-utils.h @@ -20,12 +20,12 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/text-structure-linter.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/text-structure-linter.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/text-structure-linter.cc b/verible/common/analysis/text-structure-linter.cc similarity index 83% rename from common/analysis/text-structure-linter.cc rename to verible/common/analysis/text-structure-linter.cc index 85f59ef83..b4472e034 100644 --- a/common/analysis/text-structure-linter.cc +++ b/verible/common/analysis/text-structure-linter.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/text-structure-linter.h" +#include "verible/common/analysis/text-structure-linter.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/text-structure-linter.h b/verible/common/analysis/text-structure-linter.h similarity index 91% rename from common/analysis/text-structure-linter.h rename to verible/common/analysis/text-structure-linter.h index f7a1d0cd7..88ac86cb8 100644 --- a/common/analysis/text-structure-linter.h +++ b/verible/common/analysis/text-structure-linter.h @@ -24,9 +24,9 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" namespace verible { diff --git a/common/analysis/text-structure-linter_test.cc b/verible/common/analysis/text-structure-linter_test.cc similarity index 91% rename from common/analysis/text-structure-linter_test.cc rename to verible/common/analysis/text-structure-linter_test.cc index 5e13a5b44..f3b5132c6 100644 --- a/common/analysis/text-structure-linter_test.cc +++ b/verible/common/analysis/text-structure-linter_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/text-structure-linter.h" +#include "verible/common/analysis/text-structure-linter.h" #include #include @@ -20,12 +20,12 @@ #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/analysis/token-stream-lint-rule.h b/verible/common/analysis/token-stream-lint-rule.h similarity index 93% rename from common/analysis/token-stream-lint-rule.h rename to verible/common/analysis/token-stream-lint-rule.h index 0093f5f73..46185aaa4 100644 --- a/common/analysis/token-stream-lint-rule.h +++ b/verible/common/analysis/token-stream-lint-rule.h @@ -19,8 +19,8 @@ #ifndef VERIBLE_COMMON_ANALYSIS_TOKEN_STREAM_LINT_RULE_H_ #define VERIBLE_COMMON_ANALYSIS_TOKEN_STREAM_LINT_RULE_H_ -#include "common/analysis/lint-rule.h" -#include "common/text/token-info.h" +#include "verible/common/analysis/lint-rule.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/analysis/token-stream-linter-test-utils.h b/verible/common/analysis/token-stream-linter-test-utils.h similarity index 82% rename from common/analysis/token-stream-linter-test-utils.h rename to verible/common/analysis/token-stream-linter-test-utils.h index 211a8d56e..ab54919ee 100644 --- a/common/analysis/token-stream-linter-test-utils.h +++ b/verible/common/analysis/token-stream-linter-test-utils.h @@ -20,12 +20,12 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/analysis/token-stream-linter.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/analysis/token-stream-linter.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/token-stream-linter.cc b/verible/common/analysis/token-stream-linter.cc similarity index 82% rename from common/analysis/token-stream-linter.cc rename to verible/common/analysis/token-stream-linter.cc index 81ac3c1e9..51adfb54e 100644 --- a/common/analysis/token-stream-linter.cc +++ b/verible/common/analysis/token-stream-linter.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/token-stream-linter.h" +#include "verible/common/analysis/token-stream-linter.h" #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-stream-view.h" -#include "common/util/logging.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/analysis/token-stream-linter.h b/verible/common/analysis/token-stream-linter.h similarity index 90% rename from common/analysis/token-stream-linter.h rename to verible/common/analysis/token-stream-linter.h index fbfccf44e..9713719ef 100644 --- a/common/analysis/token-stream-linter.h +++ b/verible/common/analysis/token-stream-linter.h @@ -23,9 +23,9 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-stream-view.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-stream-view.h" namespace verible { diff --git a/common/analysis/token-stream-linter_test.cc b/verible/common/analysis/token-stream-linter_test.cc similarity index 91% rename from common/analysis/token-stream-linter_test.cc rename to verible/common/analysis/token-stream-linter_test.cc index 56ee1f0d2..7129bd84c 100644 --- a/common/analysis/token-stream-linter_test.cc +++ b/verible/common/analysis/token-stream-linter_test.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/token-stream-linter.h" +#include "verible/common/analysis/token-stream-linter.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" namespace verible { namespace { diff --git a/common/analysis/violation-handler.cc b/verible/common/analysis/violation-handler.cc similarity index 97% rename from common/analysis/violation-handler.cc rename to verible/common/analysis/violation-handler.cc index 60426c177..11cd38551 100644 --- a/common/analysis/violation-handler.cc +++ b/verible/common/analysis/violation-handler.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/analysis/violation-handler.h" +#include "verible/common/analysis/violation-handler.h" #include #include @@ -25,11 +25,11 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/strings/diff.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "common/util/user-interaction.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/strings/diff.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/user-interaction.h" namespace verible { namespace { diff --git a/common/analysis/violation-handler.h b/verible/common/analysis/violation-handler.h similarity index 99% rename from common/analysis/violation-handler.h rename to verible/common/analysis/violation-handler.h index 1d06afe55..4925aeae4 100644 --- a/common/analysis/violation-handler.h +++ b/verible/common/analysis/violation-handler.h @@ -22,7 +22,7 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" +#include "verible/common/analysis/lint-rule-status.h" namespace verible { diff --git a/common/formatting/BUILD b/verible/common/formatting/BUILD similarity index 56% rename from common/formatting/BUILD rename to verible/common/formatting/BUILD index d09130e90..51f5751f8 100644 --- a/common/formatting/BUILD +++ b/verible/common/formatting/BUILD @@ -3,7 +3,7 @@ package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//verilog/formatting:__subpackages__", + "//verible/verilog/formatting:__subpackages__", ], features = ["layering_check"], ) @@ -16,23 +16,23 @@ cc_library( ":format-token", ":token-partition-tree", ":unwrapped-line", - "//common/strings:display-utils", - "//common/strings:position", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-context-visitor", - "//common/text:tree-utils", - "//common/util:container-iterator-range", - "//common/util:enum-flags", - "//common/util:iterator-adaptors", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:range", - "//common/util:tree-operations", - "//common/util:vector-tree", - "//common/util:vector-tree-iterators", + "//verible/common/strings:display-utils", + "//verible/common/strings:position", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-context-visitor", + "//verible/common/text:tree-utils", + "//verible/common/util:container-iterator-range", + "//verible/common/util:enum-flags", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", + "//verible/common/util:vector-tree-iterators", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -48,17 +48,17 @@ cc_test( ":token-partition-tree-test-utils", ":unwrapped-line", ":unwrapped-line-test-utils", - "//common/strings:position", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol-ptr", - "//common/text:token-info", - "//common/text:tree-builder-test-util", - "//common/text:tree-utils", - "//common/util:logging", - "//common/util:range", - "//common/util:spacer", - "//common/util:value-saver", + "//verible/common/strings:position", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol-ptr", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:spacer", + "//verible/common/util:value-saver", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -71,7 +71,7 @@ cc_library( srcs = ["basic-format-style.cc"], hdrs = ["basic-format-style.h"], deps = [ - "//common/util:enum-flags", + "//verible/common/util:enum-flags", "@com_google_absl//absl/strings:string_view", ], ) @@ -101,17 +101,17 @@ cc_library( srcs = ["format-token.cc"], hdrs = ["format-token.h"], deps = [ - "//common/strings:display-utils", - "//common/strings:position", - "//common/strings:range", - "//common/text:concrete-syntax-leaf", - "//common/text:token-info", - "//common/util:container-iterator-range", - "//common/util:interval", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:range", - "//common/util:spacer", + "//verible/common/strings:display-utils", + "//verible/common/strings:position", + "//verible/common/strings:range", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:token-info", + "//verible/common/util:container-iterator-range", + "//verible/common/util:interval", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:spacer", "@com_google_absl//absl/base:core_headers", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -124,9 +124,9 @@ cc_test( deps = [ ":format-token", ":unwrapped-line-test-utils", - "//common/strings:position", - "//common/text:token-info", - "//common/util:range", + "//verible/common/strings:position", + "//verible/common/text:token-info", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -145,12 +145,12 @@ cc_library( ":format-token", ":token-partition-tree", ":unwrapped-line", - "//common/util:container-iterator-range", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:tree-operations", - "//common/util:value-saver", - "//common/util:vector-tree", + "//verible/common/util:container-iterator-range", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/common/util:value-saver", + "//verible/common/util:vector-tree", "@com_google_absl//absl/container:fixed_array", "@com_google_absl//absl/log", "@com_google_absl//absl/strings", @@ -172,13 +172,13 @@ cc_test( ":token-partition-tree-test-utils", ":unwrapped-line", ":unwrapped-line-test-utils", - "//common/strings:split", - "//common/text:token-info", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:spacer", - "//common/util:tree-operations", - "//common/util:vector-tree", + "//verible/common/strings:split", + "//verible/common/text:token-info", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:spacer", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", "@com_google_absl//absl/container:fixed_array", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -198,18 +198,18 @@ cc_library( ":format-token", ":line-wrap-searcher", ":unwrapped-line", - "//common/strings:display-utils", - "//common/strings:position", - "//common/strings:range", - "//common/util:algorithm", - "//common/util:container-iterator-range", - "//common/util:iterator-adaptors", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:spacer", - "//common/util:top-n", - "//common/util:tree-operations", - "//common/util:vector-tree", + "//verible/common/strings:display-utils", + "//verible/common/strings:position", + "//verible/common/strings:range", + "//verible/common/util:algorithm", + "//verible/common/util:container-iterator-range", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:spacer", + "//verible/common/util:top-n", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", "@com_google_absl//absl/strings:string_view", ], ) @@ -223,8 +223,8 @@ cc_library( ":format-token", ":token-partition-tree", ":unwrapped-line", - "//common/util:logging", - "//common/util:tree-operations", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -240,12 +240,12 @@ cc_test( ":token-partition-tree-test-utils", ":unwrapped-line", ":unwrapped-line-test-utils", - "//common/strings:position", - "//common/text:token-info", - "//common/util:container-iterator-range", - "//common/util:logging", - "//common/util:tree-operations", - "//common/util:vector-tree", + "//verible/common/strings:position", + "//verible/common/text:token-info", + "//verible/common/util:container-iterator-range", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -261,14 +261,14 @@ cc_library( ], deps = [ ":format-token", - "//common/strings:display-utils", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:container-iterator-range", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:spacer", + "//verible/common/strings:display-utils", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:container-iterator-range", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:spacer", "@com_google_absl//absl/strings", ], ) @@ -281,8 +281,8 @@ cc_library( deps = [ ":format-token", ":unwrapped-line", - "//common/text:constants", - "//common/text:token-info", + "//verible/common/text:constants", + "//verible/common/text:token-info", "@com_google_absl//absl/strings:string_view", ], ) @@ -294,10 +294,10 @@ cc_test( ":format-token", ":unwrapped-line", ":unwrapped-line-test-utils", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-builder-test-util", - "//common/util:container-iterator-range", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:container-iterator-range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -312,11 +312,11 @@ cc_library( ], deps = [ ":format-token", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-context-visitor", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-context-visitor", ], ) @@ -326,12 +326,12 @@ cc_test( deps = [ ":format-token", ":tree-annotator", - "//common/text:constants", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-builder-test-util", - "//common/util:iterator-range", - "//common/util:logging", + "//verible/common/text:constants", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -348,17 +348,17 @@ cc_library( ":format-token", ":token-partition-tree", ":unwrapped-line", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/text:tree-context-visitor", - "//common/util:logging", - "//common/util:tree-operations", - "//common/util:value-saver", - "//common/util:vector-tree", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/text:tree-context-visitor", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/common/util:value-saver", + "//verible/common/util:vector-tree", "@com_google_absl//absl/strings:string_view", ], ) @@ -370,14 +370,14 @@ cc_test( ":format-token", ":tree-unwrapper", ":unwrapped-line", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:text-structure", - "//common/text:text-structure-test-utils", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:container-iterator-range", - "//common/util:range", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:text-structure", + "//verible/common/text:text-structure-test-utils", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:container-iterator-range", + "//verible/common/util:range", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -395,12 +395,12 @@ cc_library( ":basic-format-style", ":format-token", ":unwrapped-line", - "//common/strings:position", - "//common/text:token-info", - "//common/util:container-iterator-range", - "//common/util:iterator-adaptors", - "//common/util:iterator-range", - "//common/util:logging", + "//verible/common/strings:position", + "//verible/common/text:token-info", + "//verible/common/util:container-iterator-range", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -414,9 +414,9 @@ cc_library( ":format-token", ":state-node", ":unwrapped-line", - "//common/text:token-info", - "//common/util:logging", - "//common/util:spacer", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/common/util:spacer", ], ) @@ -429,8 +429,8 @@ cc_test( ":state-node", ":unwrapped-line", ":unwrapped-line-test-utils", - "//common/text:token-info", - "//common/util:logging", + "//verible/common/text:token-info", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -446,7 +446,7 @@ cc_test( ":line-wrap-searcher", ":unwrapped-line", ":unwrapped-line-test-utils", - "//common/text:token-info", + "//verible/common/text:token-info", "@com_google_absl//absl/strings", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -458,8 +458,8 @@ cc_library( srcs = ["verification.cc"], hdrs = ["verification.h"], deps = [ - "//common/strings:diff", - "//common/strings:position", + "//verible/common/strings:diff", + "//verible/common/strings:position", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -471,7 +471,7 @@ cc_test( srcs = ["verification_test.cc"], deps = [ ":verification", - "//common/strings:position", + "//verible/common/strings:position", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_googletest//:gtest", diff --git a/common/formatting/README.md b/verible/common/formatting/README.md similarity index 100% rename from common/formatting/README.md rename to verible/common/formatting/README.md diff --git a/common/formatting/align.cc b/verible/common/formatting/align.cc similarity index 98% rename from common/formatting/align.cc rename to verible/common/formatting/align.cc index 1b8a862f8..cafc53ec0 100644 --- a/common/formatting/align.cc +++ b/verible/common/formatting/align.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/align.h" +#include "verible/common/formatting/align.h" #include #include @@ -31,25 +31,25 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/display-utils.h" -#include "common/strings/position.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/text/tree-context-visitor.h" -#include "common/text/tree-utils.h" -#include "common/util/container-iterator-range.h" -#include "common/util/enum-flags.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree-iterators.h" -#include "common/util/vector-tree.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree-iterators.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/formatting/align.h b/verible/common/formatting/align.h similarity index 97% rename from common/formatting/align.h rename to verible/common/formatting/align.h index ba3c0fa51..a746c29f7 100644 --- a/common/formatting/align.h +++ b/verible/common/formatting/align.h @@ -22,17 +22,17 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/position.h" // for ByteOffsetSet -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-context-visitor.h" -#include "common/text/tree-utils.h" // for GetRightmostLeaf -#include "common/util/logging.h" -#include "common/util/vector-tree.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/position.h" // for ByteOffsetSet +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/text/tree-utils.h" // for GetRightmostLeaf +#include "verible/common/util/logging.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/formatting/align_test.cc b/verible/common/formatting/align_test.cc similarity index 98% rename from common/formatting/align_test.cc rename to verible/common/formatting/align_test.cc index 7014fe91a..5d9430e6f 100644 --- a/common/formatting/align_test.cc +++ b/verible/common/formatting/align_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/align.h" +#include "verible/common/formatting/align.h" #include #include @@ -26,24 +26,24 @@ #include "absl/strings/match.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree-test-utils.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/position.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol-ptr.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/spacer.h" -#include "common/util/value-saver.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree-test-utils.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol-ptr.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/value-saver.h" namespace verible { namespace { diff --git a/common/formatting/basic-format-style-init.cc b/verible/common/formatting/basic-format-style-init.cc similarity index 94% rename from common/formatting/basic-format-style-init.cc rename to verible/common/formatting/basic-format-style-init.cc index cdede5fae..b0aa52e2c 100644 --- a/common/formatting/basic-format-style-init.cc +++ b/verible/common/formatting/basic-format-style-init.cc @@ -12,10 +12,10 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/basic-format-style-init.h" +#include "verible/common/formatting/basic-format-style-init.h" #include "absl/flags/flag.h" -#include "common/formatting/basic-format-style.h" +#include "verible/common/formatting/basic-format-style.h" ABSL_FLAG(int, indentation_spaces, 2, "Each indentation level adds this many spaces."); diff --git a/common/formatting/basic-format-style-init.h b/verible/common/formatting/basic-format-style-init.h similarity index 94% rename from common/formatting/basic-format-style-init.h rename to verible/common/formatting/basic-format-style-init.h index e6cee439f..52f76e99e 100644 --- a/common/formatting/basic-format-style-init.h +++ b/verible/common/formatting/basic-format-style-init.h @@ -15,7 +15,7 @@ #ifndef VERIBLE_COMMON_FORMATTING_BASIC_FORMAT_STYLE_INIT_H_ #define VERIBLE_COMMON_FORMATTING_BASIC_FORMAT_STYLE_INIT_H_ -#include "common/formatting/basic-format-style.h" +#include "verible/common/formatting/basic-format-style.h" namespace verible { diff --git a/common/formatting/basic-format-style.cc b/verible/common/formatting/basic-format-style.cc similarity index 93% rename from common/formatting/basic-format-style.cc rename to verible/common/formatting/basic-format-style.cc index 3ca7ec2bf..979d54b20 100644 --- a/common/formatting/basic-format-style.cc +++ b/verible/common/formatting/basic-format-style.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/basic-format-style.h" +#include "verible/common/formatting/basic-format-style.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/util/enum-flags.h" +#include "verible/common/util/enum-flags.h" namespace verible { diff --git a/common/formatting/basic-format-style.h b/verible/common/formatting/basic-format-style.h similarity index 100% rename from common/formatting/basic-format-style.h rename to verible/common/formatting/basic-format-style.h diff --git a/common/formatting/basic-format-style_test.cc b/verible/common/formatting/basic-format-style_test.cc similarity index 95% rename from common/formatting/basic-format-style_test.cc rename to verible/common/formatting/basic-format-style_test.cc index 8f6c3187e..6ee4bece9 100644 --- a/common/formatting/basic-format-style_test.cc +++ b/verible/common/formatting/basic-format-style_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/basic-format-style.h" +#include "verible/common/formatting/basic-format-style.h" #include diff --git a/common/formatting/format-token.cc b/verible/common/formatting/format-token.cc similarity index 95% rename from common/formatting/format-token.cc rename to verible/common/formatting/format-token.cc index aa22bdafa..a175152c8 100644 --- a/common/formatting/format-token.cc +++ b/verible/common/formatting/format-token.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/format-token.h" +#include "verible/common/formatting/format-token.h" #include #include @@ -25,15 +25,15 @@ #include "absl/base/attributes.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/strings/display-utils.h" -#include "common/strings/position.h" -#include "common/strings/range.h" -#include "common/text/token-info.h" -#include "common/util/interval.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/spacer.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/strings/position.h" +#include "verible/common/strings/range.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/interval.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/spacer.h" namespace verible { diff --git a/common/formatting/format-token.h b/verible/common/formatting/format-token.h similarity index 97% rename from common/formatting/format-token.h rename to verible/common/formatting/format-token.h index 53c808864..a54231337 100644 --- a/common/formatting/format-token.h +++ b/verible/common/formatting/format-token.h @@ -21,10 +21,10 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/position.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/token-info.h" -#include "common/util/container-iterator-range.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/container-iterator-range.h" namespace verible { diff --git a/common/formatting/format-token_test.cc b/verible/common/formatting/format-token_test.cc similarity index 98% rename from common/formatting/format-token_test.cc rename to verible/common/formatting/format-token_test.cc index f7981e80e..a344169f5 100644 --- a/common/formatting/format-token_test.cc +++ b/verible/common/formatting/format-token_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/format-token.h" +#include "verible/common/formatting/format-token.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/strings/position.h" -#include "common/text/token-info.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/formatting/layout-optimizer-internal.h b/verible/common/formatting/layout-optimizer-internal.h similarity index 98% rename from common/formatting/layout-optimizer-internal.h rename to verible/common/formatting/layout-optimizer-internal.h index 3db0a79f8..39e2deef6 100644 --- a/common/formatting/layout-optimizer-internal.h +++ b/verible/common/formatting/layout-optimizer-internal.h @@ -31,13 +31,13 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/formatting/layout-optimizer.cc b/verible/common/formatting/layout-optimizer.cc similarity index 98% rename from common/formatting/layout-optimizer.cc rename to verible/common/formatting/layout-optimizer.cc index f22ca1ad1..d502e4d27 100644 --- a/common/formatting/layout-optimizer.cc +++ b/verible/common/formatting/layout-optimizer.cc @@ -17,7 +17,7 @@ // (https://research.google/pubs/pub44667/) and originally implemented // in rfmt (https://github.com/google/rfmt). -#include "common/formatting/layout-optimizer.h" +#include "verible/common/formatting/layout-optimizer.h" #include #include @@ -30,15 +30,15 @@ #include "absl/container/fixed_array.h" #include "absl/log/log.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/layout-optimizer-internal.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/util/container-iterator-range.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "common/util/value-saver.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/layout-optimizer-internal.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/value-saver.h" namespace verible { diff --git a/common/formatting/layout-optimizer.h b/verible/common/formatting/layout-optimizer.h similarity index 91% rename from common/formatting/layout-optimizer.h rename to verible/common/formatting/layout-optimizer.h index 3c78975bc..db0db31ba 100644 --- a/common/formatting/layout-optimizer.h +++ b/verible/common/formatting/layout-optimizer.h @@ -20,8 +20,8 @@ #ifndef VERIBLE_VERILOG_FORMATTING_LAYOUT_OPTIMIZER_H_ #define VERIBLE_VERILOG_FORMATTING_LAYOUT_OPTIMIZER_H_ -#include "common/formatting/basic-format-style.h" -#include "common/formatting/token-partition-tree.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/token-partition-tree.h" namespace verible { diff --git a/common/formatting/layout-optimizer_test.cc b/verible/common/formatting/layout-optimizer_test.cc similarity index 99% rename from common/formatting/layout-optimizer_test.cc rename to verible/common/formatting/layout-optimizer_test.cc index 60760d256..f670287cc 100644 --- a/common/formatting/layout-optimizer_test.cc +++ b/verible/common/formatting/layout-optimizer_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. // -#include "common/formatting/layout-optimizer.h" +#include "verible/common/formatting/layout-optimizer.h" #include #include @@ -27,19 +27,19 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/layout-optimizer-internal.h" -#include "common/formatting/token-partition-tree-test-utils.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/split.h" -#include "common/text/token-info.h" -#include "common/util/iterator-range.h" -#include "common/util/spacer.h" -#include "common/util/tree-operations.h" #include "gtest/gtest.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/layout-optimizer-internal.h" +#include "verible/common/formatting/token-partition-tree-test-utils.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/split.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/tree-operations.h" namespace verible { diff --git a/common/formatting/line-wrap-searcher.cc b/verible/common/formatting/line-wrap-searcher.cc similarity index 95% rename from common/formatting/line-wrap-searcher.cc rename to verible/common/formatting/line-wrap-searcher.cc index df099ded5..8c03b40d7 100644 --- a/common/formatting/line-wrap-searcher.cc +++ b/verible/common/formatting/line-wrap-searcher.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/line-wrap-searcher.h" +#include "verible/common/formatting/line-wrap-searcher.h" #include #include #include #include -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/state-node.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/state-node.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" namespace verible { namespace { diff --git a/common/formatting/line-wrap-searcher.h b/verible/common/formatting/line-wrap-searcher.h similarity index 95% rename from common/formatting/line-wrap-searcher.h rename to verible/common/formatting/line-wrap-searcher.h index fe947cee7..878185d43 100644 --- a/common/formatting/line-wrap-searcher.h +++ b/verible/common/formatting/line-wrap-searcher.h @@ -18,8 +18,8 @@ #include #include -#include "common/formatting/basic-format-style.h" -#include "common/formatting/unwrapped-line.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/unwrapped-line.h" namespace verible { diff --git a/common/formatting/line-wrap-searcher_test.cc b/verible/common/formatting/line-wrap-searcher_test.cc similarity index 98% rename from common/formatting/line-wrap-searcher_test.cc rename to verible/common/formatting/line-wrap-searcher_test.cc index b0a60f268..5ae39e64a 100644 --- a/common/formatting/line-wrap-searcher_test.cc +++ b/verible/common/formatting/line-wrap-searcher_test.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/line-wrap-searcher.h" +#include "verible/common/formatting/line-wrap-searcher.h" #include #include #include #include "absl/strings/match.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/formatting/state-node.cc b/verible/common/formatting/state-node.cc similarity index 97% rename from common/formatting/state-node.cc rename to verible/common/formatting/state-node.cc index d1cad2cb9..d13165f1f 100644 --- a/common/formatting/state-node.cc +++ b/verible/common/formatting/state-node.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/state-node.h" +#include "verible/common/formatting/state-node.h" #include #include @@ -21,14 +21,14 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/position.h" -#include "common/text/token-info.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/formatting/state-node.h b/verible/common/formatting/state-node.h similarity index 97% rename from common/formatting/state-node.h rename to verible/common/formatting/state-node.h index d94efae10..f04e54486 100644 --- a/common/formatting/state-node.h +++ b/verible/common/formatting/state-node.h @@ -21,10 +21,10 @@ #include #include -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line.h" -#include "common/util/container-iterator-range.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/util/container-iterator-range.h" namespace verible { diff --git a/common/formatting/state-node_test.cc b/verible/common/formatting/state-node_test.cc similarity index 99% rename from common/formatting/state-node_test.cc rename to verible/common/formatting/state-node_test.cc index acbb60efe..4b5e22f50 100644 --- a/common/formatting/state-node_test.cc +++ b/verible/common/formatting/state-node_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/state-node.h" +#include "verible/common/formatting/state-node.h" #include #include @@ -22,13 +22,13 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" #include "gtest/gtest.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { namespace { diff --git a/common/formatting/token-partition-tree-test-utils.cc b/verible/common/formatting/token-partition-tree-test-utils.cc similarity index 88% rename from common/formatting/token-partition-tree-test-utils.cc rename to verible/common/formatting/token-partition-tree-test-utils.cc index 269f6fa2c..6ba53fdbd 100644 --- a/common/formatting/token-partition-tree-test-utils.cc +++ b/verible/common/formatting/token-partition-tree-test-utils.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/token-partition-tree-test-utils.h" +#include "verible/common/formatting/token-partition-tree-test-utils.h" #include -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" #include "gtest/gtest.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" namespace verible { diff --git a/common/formatting/token-partition-tree-test-utils.h b/verible/common/formatting/token-partition-tree-test-utils.h similarity index 96% rename from common/formatting/token-partition-tree-test-utils.h rename to verible/common/formatting/token-partition-tree-test-utils.h index 9b95573fe..e7d35c5d6 100644 --- a/common/formatting/token-partition-tree-test-utils.h +++ b/verible/common/formatting/token-partition-tree-test-utils.h @@ -19,10 +19,10 @@ #include #include -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" #include "gtest/gtest.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" namespace verible { diff --git a/common/formatting/token-partition-tree.cc b/verible/common/formatting/token-partition-tree.cc similarity index 97% rename from common/formatting/token-partition-tree.cc rename to verible/common/formatting/token-partition-tree.cc index 17a42bb05..08667e2ce 100644 --- a/common/formatting/token-partition-tree.cc +++ b/verible/common/formatting/token-partition-tree.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/token-partition-tree.h" +#include "verible/common/formatting/token-partition-tree.h" #include #include @@ -23,22 +23,22 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/line-wrap-searcher.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/display-utils.h" -#include "common/strings/position.h" -#include "common/strings/range.h" -#include "common/util/algorithm.h" -#include "common/util/container-iterator-range.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/top-n.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/line-wrap-searcher.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/strings/position.h" +#include "verible/common/strings/range.h" +#include "verible/common/util/algorithm.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/top-n.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/formatting/token-partition-tree.h b/verible/common/formatting/token-partition-tree.h similarity index 96% rename from common/formatting/token-partition-tree.h rename to verible/common/formatting/token-partition-tree.h index d259da763..92c7b292b 100644 --- a/common/formatting/token-partition-tree.h +++ b/verible/common/formatting/token-partition-tree.h @@ -21,12 +21,12 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/position.h" // for ByteOffsetSet -#include "common/util/container-iterator-range.h" -#include "common/util/vector-tree.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/position.h" // for ByteOffsetSet +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/formatting/token-partition-tree_test.cc b/verible/common/formatting/token-partition-tree_test.cc similarity index 99% rename from common/formatting/token-partition-tree_test.cc rename to verible/common/formatting/token-partition-tree_test.cc index dcc5b8076..4255a8125 100644 --- a/common/formatting/token-partition-tree_test.cc +++ b/verible/common/formatting/token-partition-tree_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/token-partition-tree.h" +#include "verible/common/formatting/token-partition-tree.h" #include #include @@ -20,19 +20,19 @@ #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/formatting/basic-format-style.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree-test-utils.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/position.h" -#include "common/text/token-info.h" -#include "common/util/container-iterator-range.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree-test-utils.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" namespace verible { namespace { diff --git a/common/formatting/tree-annotator.cc b/verible/common/formatting/tree-annotator.cc similarity index 93% rename from common/formatting/tree-annotator.cc rename to verible/common/formatting/tree-annotator.cc index bc0d54b0a..edda21c42 100644 --- a/common/formatting/tree-annotator.cc +++ b/verible/common/formatting/tree-annotator.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/tree-annotator.h" +#include "verible/common/formatting/tree-annotator.h" #include #include -#include "common/formatting/format-token.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-context-visitor.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-context-visitor.h" namespace verible { diff --git a/common/formatting/tree-annotator.h b/verible/common/formatting/tree-annotator.h similarity index 88% rename from common/formatting/tree-annotator.h rename to verible/common/formatting/tree-annotator.h index 0a2e88ff8..5ff9d1c8e 100644 --- a/common/formatting/tree-annotator.h +++ b/verible/common/formatting/tree-annotator.h @@ -18,10 +18,10 @@ #include #include -#include "common/formatting/format-token.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/formatting/tree-annotator_test.cc b/verible/common/formatting/tree-annotator_test.cc similarity index 95% rename from common/formatting/tree-annotator_test.cc rename to verible/common/formatting/tree-annotator_test.cc index 643bff2a1..3d0b6af4c 100644 --- a/common/formatting/tree-annotator_test.cc +++ b/verible/common/formatting/tree-annotator_test.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/tree-annotator.h" +#include "verible/common/formatting/tree-annotator.h" #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/text/constants.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { namespace { diff --git a/common/formatting/tree-unwrapper.cc b/verible/common/formatting/tree-unwrapper.cc similarity index 95% rename from common/formatting/tree-unwrapper.cc rename to verible/common/formatting/tree-unwrapper.cc index 19c28c361..38c0de968 100644 --- a/common/formatting/tree-unwrapper.cc +++ b/verible/common/formatting/tree-unwrapper.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/tree-unwrapper.h" +#include "verible/common/formatting/tree-unwrapper.h" #include #include @@ -20,19 +20,19 @@ #include #include -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "common/util/value-saver.h" -#include "common/util/vector-tree.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/value-saver.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/formatting/tree-unwrapper.h b/verible/common/formatting/tree-unwrapper.h similarity index 95% rename from common/formatting/tree-unwrapper.h rename to verible/common/formatting/tree-unwrapper.h index bb590f6b6..9492e3b5d 100644 --- a/common/formatting/tree-unwrapper.h +++ b/verible/common/formatting/tree-unwrapper.h @@ -20,15 +20,15 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-context-visitor.h" -#include "common/util/tree-operations.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/util/tree-operations.h" namespace verible { // Base class for building unwrapped lines. TreeUnwrapper is a concrete syntax diff --git a/common/formatting/tree-unwrapper_test.cc b/verible/common/formatting/tree-unwrapper_test.cc similarity index 90% rename from common/formatting/tree-unwrapper_test.cc rename to verible/common/formatting/tree-unwrapper_test.cc index 6dde6fa5f..676912f91 100644 --- a/common/formatting/tree-unwrapper_test.cc +++ b/verible/common/formatting/tree-unwrapper_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/tree-unwrapper.h" +#include "verible/common/formatting/tree-unwrapper.h" #include #include @@ -22,17 +22,17 @@ #include "absl/strings/ascii.h" #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure-test-utils.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/container-iterator-range.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure-test-utils.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/range.h" namespace verible { diff --git a/common/formatting/unwrapped-line-test-utils.cc b/verible/common/formatting/unwrapped-line-test-utils.cc similarity index 91% rename from common/formatting/unwrapped-line-test-utils.cc rename to verible/common/formatting/unwrapped-line-test-utils.cc index a8b5bc019..5867f3a4c 100644 --- a/common/formatting/unwrapped-line-test-utils.cc +++ b/verible/common/formatting/unwrapped-line-test-utils.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" #include #include -#include "common/formatting/unwrapped-line.h" -#include "common/text/token-info.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/formatting/unwrapped-line-test-utils.h b/verible/common/formatting/unwrapped-line-test-utils.h similarity index 93% rename from common/formatting/unwrapped-line-test-utils.h rename to verible/common/formatting/unwrapped-line-test-utils.h index 0a8f5e146..84052a7fd 100644 --- a/common/formatting/unwrapped-line-test-utils.h +++ b/verible/common/formatting/unwrapped-line-test-utils.h @@ -19,10 +19,10 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/constants.h" -#include "common/text/token-info.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/formatting/unwrapped-line.cc b/verible/common/formatting/unwrapped-line.cc similarity index 91% rename from common/formatting/unwrapped-line.cc rename to verible/common/formatting/unwrapped-line.cc index 867bb0cb0..e60daad64 100644 --- a/common/formatting/unwrapped-line.cc +++ b/verible/common/formatting/unwrapped-line.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/unwrapped-line.h" +#include "verible/common/formatting/unwrapped-line.h" #include #include @@ -24,15 +24,15 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" -#include "common/formatting/format-token.h" -#include "common/strings/display-utils.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/container-iterator-range.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" namespace verible { diff --git a/common/formatting/unwrapped-line.h b/verible/common/formatting/unwrapped-line.h similarity index 98% rename from common/formatting/unwrapped-line.h rename to verible/common/formatting/unwrapped-line.h index 5a48fb3f2..c23c2fa33 100644 --- a/common/formatting/unwrapped-line.h +++ b/verible/common/formatting/unwrapped-line.h @@ -21,9 +21,9 @@ #include #include -#include "common/formatting/format-token.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/formatting/unwrapped-line_test.cc b/verible/common/formatting/unwrapped-line_test.cc similarity index 98% rename from common/formatting/unwrapped-line_test.cc rename to verible/common/formatting/unwrapped-line_test.cc index 00efdf2b2..cd88ab659 100644 --- a/common/formatting/unwrapped-line_test.cc +++ b/verible/common/formatting/unwrapped-line_test.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/unwrapped-line.h" +#include "verible/common/formatting/unwrapped-line.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/container-iterator-range.h" #include "gtest/gtest.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/container-iterator-range.h" namespace verible { namespace { diff --git a/common/formatting/verification.cc b/verible/common/formatting/verification.cc similarity index 93% rename from common/formatting/verification.cc rename to verible/common/formatting/verification.cc index cb914d5c1..5ff9a5ad5 100644 --- a/common/formatting/verification.cc +++ b/verible/common/formatting/verification.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/verification.h" +#include "verible/common/formatting/verification.h" #include #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/strings/diff.h" -#include "common/strings/position.h" +#include "verible/common/strings/diff.h" +#include "verible/common/strings/position.h" namespace verible { diff --git a/common/formatting/verification.h b/verible/common/formatting/verification.h similarity index 96% rename from common/formatting/verification.h rename to verible/common/formatting/verification.h index d4afe87bb..0fc15281c 100644 --- a/common/formatting/verification.h +++ b/verible/common/formatting/verification.h @@ -17,7 +17,7 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/position.h" +#include "verible/common/strings/position.h" namespace verible { diff --git a/common/formatting/verification_test.cc b/verible/common/formatting/verification_test.cc similarity index 93% rename from common/formatting/verification_test.cc rename to verible/common/formatting/verification_test.cc index ac5d60d89..b9e7a9b81 100644 --- a/common/formatting/verification_test.cc +++ b/verible/common/formatting/verification_test.cc @@ -12,12 +12,12 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/formatting/verification.h" +#include "verible/common/formatting/verification.h" #include "absl/status/status.h" #include "absl/strings/match.h" -#include "common/strings/position.h" #include "gtest/gtest.h" +#include "verible/common/strings/position.h" namespace verible { namespace { diff --git a/common/lexer/BUILD b/verible/common/lexer/BUILD similarity index 67% rename from common/lexer/BUILD rename to verible/common/lexer/BUILD index bf83389d7..6952d51b7 100644 --- a/common/lexer/BUILD +++ b/verible/common/lexer/BUILD @@ -4,11 +4,11 @@ package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//common/analysis:__subpackages__", - "//common/parser:__subpackages__", - "//verilog/analysis:__subpackages__", - "//verilog/parser:__subpackages__", - "//verilog/preprocessor:__subpackages__", + "//verible/common/analysis:__subpackages__", + "//verible/common/parser:__subpackages__", + "//verible/verilog/analysis:__subpackages__", + "//verible/verilog/parser:__subpackages__", + "//verible/verilog/preprocessor:__subpackages__", ], features = ["layering_check"], ) @@ -16,7 +16,7 @@ package( cc_library( name = "token-generator", hdrs = ["token-generator.h"], - deps = ["//common/text:token-info"], + deps = ["//verible/common/text:token-info"], ) cc_library( @@ -26,8 +26,8 @@ cc_library( deps = [ ":lexer", ":token-generator", - "//common/text:token-info", - "//common/text:token-stream-view", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", ], @@ -38,8 +38,8 @@ cc_library( hdrs = ["flex-lexer-adapter.h"], deps = [ ":lexer", - "//common/text:token-info", - "//common/util:logging", + "//verible/common/text:token-info", + "//verible/common/util:logging", "@com_google_absl//absl/log:check", "@com_google_absl//absl/strings:string_view", ], @@ -49,7 +49,7 @@ cc_library( name = "lexer", hdrs = ["lexer.h"], deps = [ - "//common/text:token-info", + "//verible/common/text:token-info", "@com_google_absl//absl/strings:string_view", ], ) @@ -60,10 +60,10 @@ cc_library( srcs = ["lexer-test-util.cc"], hdrs = ["lexer-test-util.h"], deps = [ - "//common/text:constants", - "//common/text:token-info", - "//common/text:token-info-test-util", - "//common/util:logging", + "//verible/common/text:constants", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", # for library testonly ], @@ -74,8 +74,8 @@ cc_test( srcs = ["lexer-test-util_test.cc"], deps = [ ":lexer-test-util", - "//common/text:constants", - "//common/text:token-info", + "//verible/common/text:constants", + "//verible/common/text:token-info", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -90,9 +90,9 @@ cc_test( ":lexer", ":lexer-test-util", ":token-stream-adapter", - "//common/text:constants", - "//common/text:token-info", - "//common/text:token-stream-view", + "//verible/common/text:constants", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", diff --git a/common/lexer/README.md b/verible/common/lexer/README.md similarity index 100% rename from common/lexer/README.md rename to verible/common/lexer/README.md diff --git a/common/lexer/flex-lexer-adapter.h b/verible/common/lexer/flex-lexer-adapter.h similarity index 98% rename from common/lexer/flex-lexer-adapter.h rename to verible/common/lexer/flex-lexer-adapter.h index e71b81214..e847b98a2 100644 --- a/common/lexer/flex-lexer-adapter.h +++ b/verible/common/lexer/flex-lexer-adapter.h @@ -38,9 +38,9 @@ #include "absl/log/check.h" #include "absl/strings/string_view.h" -#include "common/lexer/lexer.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/lexer/lexer-test-util.cc b/verible/common/lexer/lexer-test-util.cc similarity index 89% rename from common/lexer/lexer-test-util.cc rename to verible/common/lexer/lexer-test-util.cc index 27fd6f1b5..b8f0f228a 100644 --- a/common/lexer/lexer-test-util.cc +++ b/verible/common/lexer/lexer-test-util.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lexer/lexer-test-util.h" +#include "verible/common/lexer/lexer-test-util.h" #include #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/lexer/lexer-test-util.h b/verible/common/lexer/lexer-test-util.h similarity index 98% rename from common/lexer/lexer-test-util.h rename to verible/common/lexer/lexer-test-util.h index 64c906f62..f3aa4926b 100644 --- a/common/lexer/lexer-test-util.h +++ b/verible/common/lexer/lexer-test-util.h @@ -28,10 +28,10 @@ #include #include "absl/strings/string_view.h" -#include "common/text/constants.h" -#include "common/text/token-info-test-util.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/lexer/lexer-test-util_test.cc b/verible/common/lexer/lexer-test-util_test.cc similarity index 95% rename from common/lexer/lexer-test-util_test.cc rename to verible/common/lexer/lexer-test-util_test.cc index 286a7f74b..6f393a9b3 100644 --- a/common/lexer/lexer-test-util_test.cc +++ b/verible/common/lexer/lexer-test-util_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lexer/lexer-test-util.h" +#include "verible/common/lexer/lexer-test-util.h" #include #include @@ -20,9 +20,9 @@ #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/text/constants.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/lexer/lexer.h b/verible/common/lexer/lexer.h similarity index 97% rename from common/lexer/lexer.h rename to verible/common/lexer/lexer.h index f306653b9..7b85f227b 100644 --- a/common/lexer/lexer.h +++ b/verible/common/lexer/lexer.h @@ -18,7 +18,7 @@ #define VERIBLE_COMMON_LEXER_LEXER_H_ #include "absl/strings/string_view.h" -#include "common/text/token-info.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/lexer/token-generator.h b/verible/common/lexer/token-generator.h similarity index 96% rename from common/lexer/token-generator.h rename to verible/common/lexer/token-generator.h index 76c8680c0..0f12cf10e 100644 --- a/common/lexer/token-generator.h +++ b/verible/common/lexer/token-generator.h @@ -19,7 +19,7 @@ #include -#include "common/text/token-info.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/lexer/token-stream-adapter.cc b/verible/common/lexer/token-stream-adapter.cc similarity index 87% rename from common/lexer/token-stream-adapter.cc rename to verible/common/lexer/token-stream-adapter.cc index 1a4a71ca0..d739182a3 100644 --- a/common/lexer/token-stream-adapter.cc +++ b/verible/common/lexer/token-stream-adapter.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lexer/token-stream-adapter.h" +#include "verible/common/lexer/token-stream-adapter.h" #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lexer/lexer.h" -#include "common/lexer/token-generator.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/lexer/token-generator.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" namespace verible { diff --git a/common/lexer/token-stream-adapter.h b/verible/common/lexer/token-stream-adapter.h similarity index 93% rename from common/lexer/token-stream-adapter.h rename to verible/common/lexer/token-stream-adapter.h index 3f70898e1..23e0f52a8 100644 --- a/common/lexer/token-stream-adapter.h +++ b/verible/common/lexer/token-stream-adapter.h @@ -23,10 +23,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lexer/lexer.h" -#include "common/lexer/token-generator.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/lexer/token-generator.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" namespace verible { diff --git a/common/lexer/token-stream-adapter_test.cc b/verible/common/lexer/token-stream-adapter_test.cc similarity index 92% rename from common/lexer/token-stream-adapter_test.cc rename to verible/common/lexer/token-stream-adapter_test.cc index e1a21fbbd..e63c5f77a 100644 --- a/common/lexer/token-stream-adapter_test.cc +++ b/verible/common/lexer/token-stream-adapter_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lexer/token-stream-adapter.h" +#include "verible/common/lexer/token-stream-adapter.h" #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lexer/lexer-test-util.h" -#include "common/lexer/lexer.h" -#include "common/text/constants.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" #include "gtest/gtest.h" +#include "verible/common/lexer/lexer-test-util.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" namespace verible { namespace { diff --git a/common/lsp/BUILD b/verible/common/lsp/BUILD similarity index 96% rename from common/lsp/BUILD rename to verible/common/lsp/BUILD index c7b520128..4cd1a67fc 100644 --- a/common/lsp/BUILD +++ b/verible/common/lsp/BUILD @@ -11,7 +11,7 @@ load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib") package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//verilog/tools/ls:__subpackages__", + "//verible/verilog/tools/ls:__subpackages__", ], features = ["layering_check"], ) @@ -21,7 +21,7 @@ cc_library( srcs = ["message-stream-splitter.cc"], hdrs = ["message-stream-splitter.h"], deps = [ - "//common/util:status-macros", + "//verible/common/util:status-macros", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -51,7 +51,7 @@ cc_library( }), features = ["-use_header_modules"], # precompiled headers incompatible with -fexceptions. deps = [ - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", "@jsonhpp//:singleheader-json", ], @@ -117,7 +117,7 @@ cc_library( deps = [ ":json-rpc-dispatcher", ":lsp-protocol", - "//common/strings:utf8", + "//verible/common/strings:utf8", "@com_google_absl//absl/container:flat_hash_map", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -205,7 +205,7 @@ cc_binary( srcs = ["jcxxgen.cc"], visibility = ["//visibility:private"], deps = [ - "//common/util:init-command-line", + "//verible/common/util:init-command-line", "@com_google_absl//absl/container:flat_hash_map", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/strings", diff --git a/common/lsp/dummy-ls.cc b/verible/common/lsp/dummy-ls.cc similarity index 95% rename from common/lsp/dummy-ls.cc rename to verible/common/lsp/dummy-ls.cc index 54adf6ab1..9daef9a93 100644 --- a/common/lsp/dummy-ls.cc +++ b/verible/common/lsp/dummy-ls.cc @@ -20,11 +20,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lsp/json-rpc-dispatcher.h" -#include "common/lsp/lsp-protocol.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/lsp/message-stream-splitter.h" #include "nlohmann/json.hpp" +#include "verible/common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/lsp/message-stream-splitter.h" #ifndef _WIN32 #include diff --git a/common/lsp/dummy-ls_test.sh b/verible/common/lsp/dummy-ls_test.sh similarity index 100% rename from common/lsp/dummy-ls_test.sh rename to verible/common/lsp/dummy-ls_test.sh diff --git a/common/lsp/jcxxgen-testfile.yaml b/verible/common/lsp/jcxxgen-testfile.yaml similarity index 100% rename from common/lsp/jcxxgen-testfile.yaml rename to verible/common/lsp/jcxxgen-testfile.yaml diff --git a/common/lsp/jcxxgen.cc b/verible/common/lsp/jcxxgen.cc similarity index 99% rename from common/lsp/jcxxgen.cc rename to verible/common/lsp/jcxxgen.cc index 529305e1a..fe08e47e5 100644 --- a/common/lsp/jcxxgen.cc +++ b/verible/common/lsp/jcxxgen.cc @@ -26,8 +26,8 @@ #include "absl/flags/flag.h" #include "absl/strings/match.h" #include "absl/strings/str_cat.h" -#include "common/util/init-command-line.h" #include "re2/re2.h" +#include "verible/common/util/init-command-line.h" ABSL_FLAG(std::string, output, "", "Name of the output file. If empty, output is written to stdout"); diff --git a/common/lsp/jcxxgen_test.cc b/verible/common/lsp/jcxxgen_test.cc similarity index 98% rename from common/lsp/jcxxgen_test.cc rename to verible/common/lsp/jcxxgen_test.cc index ea2ca7a9b..529b37097 100644 --- a/common/lsp/jcxxgen_test.cc +++ b/verible/common/lsp/jcxxgen_test.cc @@ -15,9 +15,9 @@ #include #include "absl/strings/match.h" -#include "common/lsp/jcxxgen-testfile.h" #include "gtest/gtest.h" #include "nlohmann/json.hpp" +#include "verible/common/lsp/jcxxgen-testfile.h" namespace verible { diff --git a/common/lsp/json-rpc-dispatcher.cc b/verible/common/lsp/json-rpc-dispatcher.cc similarity index 98% rename from common/lsp/json-rpc-dispatcher.cc rename to verible/common/lsp/json-rpc-dispatcher.cc index 877ea2e10..957c1ec15 100644 --- a/common/lsp/json-rpc-dispatcher.cc +++ b/verible/common/lsp/json-rpc-dispatcher.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/json-rpc-dispatcher.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/util/logging.h" #include "nlohmann/json.hpp" +#include "verible/common/util/logging.h" namespace verible { namespace lsp { diff --git a/common/lsp/json-rpc-dispatcher.h b/verible/common/lsp/json-rpc-dispatcher.h similarity index 100% rename from common/lsp/json-rpc-dispatcher.h rename to verible/common/lsp/json-rpc-dispatcher.h diff --git a/common/lsp/json-rpc-dispatcher_test.cc b/verible/common/lsp/json-rpc-dispatcher_test.cc similarity index 99% rename from common/lsp/json-rpc-dispatcher_test.cc rename to verible/common/lsp/json-rpc-dispatcher_test.cc index 3dff6aba3..25bdd3579 100644 --- a/common/lsp/json-rpc-dispatcher_test.cc +++ b/verible/common/lsp/json-rpc-dispatcher_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/json-rpc-dispatcher.h" #include #include diff --git a/common/lsp/json-rpc-expect.cc b/verible/common/lsp/json-rpc-expect.cc similarity index 99% rename from common/lsp/json-rpc-expect.cc rename to verible/common/lsp/json-rpc-expect.cc index f038b27c8..deb0f00eb 100644 --- a/common/lsp/json-rpc-expect.cc +++ b/verible/common/lsp/json-rpc-expect.cc @@ -24,8 +24,8 @@ #include "absl/status/status.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/lsp/message-stream-splitter.h" #include "nlohmann/json.hpp" +#include "verible/common/lsp/message-stream-splitter.h" #ifndef _WIN32 #include diff --git a/common/lsp/lsp-file-utils.cc b/verible/common/lsp/lsp-file-utils.cc similarity index 98% rename from common/lsp/lsp-file-utils.cc rename to verible/common/lsp/lsp-file-utils.cc index 9cfc483d9..4c1777397 100644 --- a/common/lsp/lsp-file-utils.cc +++ b/verible/common/lsp/lsp-file-utils.cc @@ -13,7 +13,7 @@ // limitations under the License. // -#include "common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-file-utils.h" #include #include diff --git a/common/lsp/lsp-file-utils.h b/verible/common/lsp/lsp-file-utils.h similarity index 100% rename from common/lsp/lsp-file-utils.h rename to verible/common/lsp/lsp-file-utils.h diff --git a/common/lsp/lsp-file-utils_test.cc b/verible/common/lsp/lsp-file-utils_test.cc similarity index 98% rename from common/lsp/lsp-file-utils_test.cc rename to verible/common/lsp/lsp-file-utils_test.cc index e4df6b35a..9372dcdcf 100644 --- a/common/lsp/lsp-file-utils_test.cc +++ b/verible/common/lsp/lsp-file-utils_test.cc @@ -13,7 +13,7 @@ // limitations under the License. // -#include "common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-file-utils.h" #include diff --git a/common/lsp/lsp-protocol-enums.h b/verible/common/lsp/lsp-protocol-enums.h similarity index 100% rename from common/lsp/lsp-protocol-enums.h rename to verible/common/lsp/lsp-protocol-enums.h diff --git a/common/lsp/lsp-protocol-operators.h b/verible/common/lsp/lsp-protocol-operators.h similarity index 97% rename from common/lsp/lsp-protocol-operators.h rename to verible/common/lsp/lsp-protocol-operators.h index c5e317393..68bd42125 100644 --- a/common/lsp/lsp-protocol-operators.h +++ b/verible/common/lsp/lsp-protocol-operators.h @@ -17,7 +17,7 @@ // Some operators defined for the generated structs in lsp-protocol -#include "common/lsp/lsp-protocol.h" +#include "verible/common/lsp/lsp-protocol.h" namespace verible { namespace lsp { diff --git a/common/lsp/lsp-protocol-operators_test.cc b/verible/common/lsp/lsp-protocol-operators_test.cc similarity index 98% rename from common/lsp/lsp-protocol-operators_test.cc rename to verible/common/lsp/lsp-protocol-operators_test.cc index f737331c1..6575d5293 100644 --- a/common/lsp/lsp-protocol-operators_test.cc +++ b/verible/common/lsp/lsp-protocol-operators_test.cc @@ -12,10 +12,10 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/lsp-protocol-operators.h" +#include "verible/common/lsp/lsp-protocol-operators.h" -#include "common/lsp/lsp-protocol.h" #include "gtest/gtest.h" +#include "verible/common/lsp/lsp-protocol.h" namespace verible { namespace lsp { diff --git a/common/lsp/lsp-protocol.yaml b/verible/common/lsp/lsp-protocol.yaml similarity index 100% rename from common/lsp/lsp-protocol.yaml rename to verible/common/lsp/lsp-protocol.yaml diff --git a/common/lsp/lsp-text-buffer.cc b/verible/common/lsp/lsp-text-buffer.cc similarity index 97% rename from common/lsp/lsp-text-buffer.cc rename to verible/common/lsp/lsp-text-buffer.cc index 0e77e2753..8704969c7 100644 --- a/common/lsp/lsp-text-buffer.cc +++ b/verible/common/lsp/lsp-text-buffer.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/lsp-text-buffer.h" +#include "verible/common/lsp/lsp-text-buffer.h" #include #include @@ -21,9 +21,9 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/lsp/json-rpc-dispatcher.h" -#include "common/lsp/lsp-protocol.h" -#include "common/strings/utf8.h" +#include "verible/common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/utf8.h" namespace verible { namespace lsp { diff --git a/common/lsp/lsp-text-buffer.h b/verible/common/lsp/lsp-text-buffer.h similarity index 98% rename from common/lsp/lsp-text-buffer.h rename to verible/common/lsp/lsp-text-buffer.h index 58bd7d114..f13199150 100644 --- a/common/lsp/lsp-text-buffer.h +++ b/verible/common/lsp/lsp-text-buffer.h @@ -24,8 +24,8 @@ #include "absl/container/flat_hash_map.h" #include "absl/strings/string_view.h" -#include "common/lsp/json-rpc-dispatcher.h" -#include "common/lsp/lsp-protocol.h" +#include "verible/common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/lsp-protocol.h" namespace verible { namespace lsp { diff --git a/common/lsp/lsp-text-buffer_test.cc b/verible/common/lsp/lsp-text-buffer_test.cc similarity index 98% rename from common/lsp/lsp-text-buffer_test.cc rename to verible/common/lsp/lsp-text-buffer_test.cc index c3481b6ed..4c2178394 100644 --- a/common/lsp/lsp-text-buffer_test.cc +++ b/verible/common/lsp/lsp-text-buffer_test.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/lsp-text-buffer.h" +#include "verible/common/lsp/lsp-text-buffer.h" #include #include #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/lsp/json-rpc-dispatcher.h" -#include "common/lsp/lsp-protocol.h" #include "gtest/gtest.h" +#include "verible/common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/lsp-protocol.h" namespace verible { namespace lsp { diff --git a/common/lsp/message-stream-splitter.cc b/verible/common/lsp/message-stream-splitter.cc similarity index 98% rename from common/lsp/message-stream-splitter.cc rename to verible/common/lsp/message-stream-splitter.cc index 6e97fb46c..9d9b603a7 100644 --- a/common/lsp/message-stream-splitter.cc +++ b/verible/common/lsp/message-stream-splitter.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/message-stream-splitter.h" +#include "verible/common/lsp/message-stream-splitter.h" #include #include @@ -23,7 +23,7 @@ #include "absl/strings/numbers.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/util/status-macros.h" +#include "verible/common/util/status-macros.h" namespace verible { namespace lsp { diff --git a/common/lsp/message-stream-splitter.h b/verible/common/lsp/message-stream-splitter.h similarity index 100% rename from common/lsp/message-stream-splitter.h rename to verible/common/lsp/message-stream-splitter.h diff --git a/common/lsp/message-stream-splitter_test.cc b/verible/common/lsp/message-stream-splitter_test.cc similarity index 99% rename from common/lsp/message-stream-splitter_test.cc rename to verible/common/lsp/message-stream-splitter_test.cc index f7b6de7cd..5551929d7 100644 --- a/common/lsp/message-stream-splitter_test.cc +++ b/verible/common/lsp/message-stream-splitter_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/message-stream-splitter.h" +#include "verible/common/lsp/message-stream-splitter.h" #include #include diff --git a/common/parser/BUILD b/verible/common/parser/BUILD similarity index 52% rename from common/parser/BUILD rename to verible/common/parser/BUILD index 62205ee1c..e2cc62891 100644 --- a/common/parser/BUILD +++ b/verible/common/parser/BUILD @@ -4,8 +4,8 @@ package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//common/analysis:__subpackages__", - "//verilog/parser:__subpackages__", + "//verible/common/analysis:__subpackages__", + "//verible/verilog/parser:__subpackages__", ], features = ["layering_check"], ) @@ -16,10 +16,10 @@ cc_library( deps = [ ":parse", ":parser-param", - "//common/lexer:token-generator", - "//common/text:concrete-syntax-tree", - "//common/text:token-info", - "//common/util:logging", + "//verible/common/lexer:token-generator", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:token-info", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", ], @@ -31,10 +31,10 @@ cc_library( hdrs = ["bison-parser-common.h"], deps = [ ":parser-param", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:token-info", - "//common/util:logging", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:token-info", + "//verible/common/util:logging", ], ) @@ -42,8 +42,8 @@ cc_library( name = "parse", hdrs = ["parse.h"], deps = [ - "//common/text:concrete-syntax-tree", - "//common/text:token-info", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:token-info", "@com_google_absl//absl/status", ], alwayslink = 1, @@ -54,12 +54,12 @@ cc_library( srcs = ["parser-param.cc"], hdrs = ["parser-param.h"], deps = [ - "//common/lexer:token-generator", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:token-info", - "//common/util:casts", - "//common/util:logging", + "//verible/common/lexer:token-generator", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:token-info", + "//verible/common/util:casts", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -69,11 +69,11 @@ cc_library( testonly = 1, hdrs = ["parser-test-util.h"], deps = [ - "//common/analysis/matcher:descent-path", - "//common/text:parser-verifier", - "//common/text:symbol", - "//common/text:token-info-test-util", - "//common/util:logging", + "//verible/common/analysis/matcher:descent-path", + "//verible/common/text:parser-verifier", + "//verible/common/text:symbol", + "//verible/common/text:token-info-test-util", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", # for library testonly @@ -86,13 +86,13 @@ cc_test( deps = [ ":bison-parser-common", ":parser-param", - "//common/lexer", - "//common/lexer:token-stream-adapter", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/util:casts", + "//verible/common/lexer", + "//verible/common/lexer:token-stream-adapter", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/util:casts", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", diff --git a/common/parser/README.md b/verible/common/parser/README.md similarity index 100% rename from common/parser/README.md rename to verible/common/parser/README.md diff --git a/common/parser/bison-parser-adapter.h b/verible/common/parser/bison-parser-adapter.h similarity index 90% rename from common/parser/bison-parser-adapter.h rename to verible/common/parser/bison-parser-adapter.h index c08d210f9..33ade5331 100644 --- a/common/parser/bison-parser-adapter.h +++ b/verible/common/parser/bison-parser-adapter.h @@ -29,12 +29,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lexer/token-generator.h" -#include "common/parser/parse.h" -#include "common/parser/parser-param.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/lexer/token-generator.h" +#include "verible/common/parser/parse.h" +#include "verible/common/parser/parser-param.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/parser/bison-parser-common.cc b/verible/common/parser/bison-parser-common.cc similarity index 84% rename from common/parser/bison-parser-common.cc rename to verible/common/parser/bison-parser-common.cc index 4cfcc670f..9d8543e4d 100644 --- a/common/parser/bison-parser-common.cc +++ b/verible/common/parser/bison-parser-common.cc @@ -14,13 +14,13 @@ // Common defines and tiny helper functions for Bison-based parsers. -#include "common/parser/bison-parser-common.h" +#include "verible/common/parser/bison-parser-common.h" -#include "common/parser/parser-param.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" // for operator<<, etc +#include "verible/common/parser/parser-param.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" // for operator<<, etc namespace verible { diff --git a/common/parser/bison-parser-common.h b/verible/common/parser/bison-parser-common.h similarity index 97% rename from common/parser/bison-parser-common.h rename to verible/common/parser/bison-parser-common.h index 4782048a8..1238812b3 100644 --- a/common/parser/bison-parser-common.h +++ b/verible/common/parser/bison-parser-common.h @@ -17,8 +17,8 @@ #ifndef VERIBLE_COMMON_PARSER_BISON_PARSER_COMMON_H_ #define VERIBLE_COMMON_PARSER_BISON_PARSER_COMMON_H_ -#include "common/parser/parser-param.h" -#include "common/text/concrete-syntax-tree.h" +#include "verible/common/parser/parser-param.h" +#include "verible/common/text/concrete-syntax-tree.h" // Uncomment the next line for parser debugging. Unfortunately, verbose // error printouts result in a compile errors when our own yyoverflow handling diff --git a/common/parser/bison-parser-common_test.cc b/verible/common/parser/bison-parser-common_test.cc similarity index 81% rename from common/parser/bison-parser-common_test.cc rename to verible/common/parser/bison-parser-common_test.cc index c023ea3ae..acd54d9a7 100644 --- a/common/parser/bison-parser-common_test.cc +++ b/verible/common/parser/bison-parser-common_test.cc @@ -14,20 +14,20 @@ // Unit tests for bison_parser_common. -#include "common/parser/bison-parser-common.h" +#include "verible/common/parser/bison-parser-common.h" #include #include "absl/strings/string_view.h" -#include "common/lexer/lexer.h" -#include "common/lexer/token-stream-adapter.h" -#include "common/parser/parser-param.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/util/casts.h" #include "gtest/gtest.h" +#include "verible/common/lexer/lexer.h" +#include "verible/common/lexer/token-stream-adapter.h" +#include "verible/common/parser/parser-param.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/casts.h" namespace verible { namespace { diff --git a/common/parser/parse.h b/verible/common/parser/parse.h similarity index 93% rename from common/parser/parse.h rename to verible/common/parser/parse.h index bf9a87cc4..fca577cb0 100644 --- a/common/parser/parse.h +++ b/verible/common/parser/parse.h @@ -20,8 +20,8 @@ #include #include "absl/status/status.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/parser/parser-param.cc b/verible/common/parser/parser-param.cc similarity index 87% rename from common/parser/parser-param.cc rename to verible/common/parser/parser-param.cc index 66df98180..6068b7069 100644 --- a/common/parser/parser-param.cc +++ b/verible/common/parser/parser-param.cc @@ -14,7 +14,7 @@ // ParserParam implementation. -#include "common/parser/parser-param.h" +#include "verible/common/parser/parser-param.h" #include #include @@ -22,12 +22,12 @@ #include #include "absl/strings/string_view.h" -#include "common/lexer/token-generator.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/util/casts.h" -#include "common/util/logging.h" +#include "verible/common/lexer/token-generator.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/parser/parser-param.h b/verible/common/parser/parser-param.h similarity index 96% rename from common/parser/parser-param.h rename to verible/common/parser/parser-param.h index 7bf4302ed..6ef62cacb 100644 --- a/common/parser/parser-param.h +++ b/verible/common/parser/parser-param.h @@ -26,9 +26,9 @@ #include #include "absl/strings/string_view.h" -#include "common/lexer/token-generator.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" +#include "verible/common/lexer/token-generator.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/parser/parser-test-util.h b/verible/common/parser/parser-test-util.h similarity index 95% rename from common/parser/parser-test-util.h rename to verible/common/parser/parser-test-util.h index 5fc35a1ec..ee58b3f82 100644 --- a/common/parser/parser-test-util.h +++ b/verible/common/parser/parser-test-util.h @@ -22,12 +22,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/matcher/descent-path.h" -#include "common/text/parser-verifier.h" -#include "common/text/symbol.h" -#include "common/text/token-info-test-util.h" -#include "common/util/logging.h" #include "gtest/gtest.h" +#include "verible/common/analysis/matcher/descent-path.h" +#include "verible/common/text/parser-verifier.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/parser/yacc.bzl b/verible/common/parser/yacc.bzl similarity index 100% rename from common/parser/yacc.bzl rename to verible/common/parser/yacc.bzl diff --git a/common/strings/BUILD b/verible/common/strings/BUILD similarity index 82% rename from common/strings/BUILD rename to verible/common/strings/BUILD index b945de1f4..020c4beaa 100644 --- a/common/strings/BUILD +++ b/verible/common/strings/BUILD @@ -30,8 +30,8 @@ cc_library( srcs = ["comment-utils.cc"], hdrs = ["comment-utils.h"], deps = [ - "//common/util:logging", - "//common/util:range", + "//verible/common/util:logging", + "//verible/common/util:range", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -42,7 +42,7 @@ cc_test( srcs = ["comment-utils_test.cc"], deps = [ ":comment-utils", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -56,8 +56,8 @@ cc_library( deps = [ ":position", ":split", - "//common/util:iterator-range", "//external_libs:editscript", + "//verible/common/util:iterator-range", "@com_google_absl//absl/strings:string_view", ], ) @@ -102,8 +102,8 @@ cc_library( hdrs = ["obfuscator.h"], deps = [ ":compare", - "//common/util:bijective-map", - "//common/util:logging", + "//verible/common/util:bijective-map", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -116,8 +116,8 @@ cc_test( deps = [ ":obfuscator", ":random", - "//common/util:bijective-map", - "//common/util:logging", + "//verible/common/util:bijective-map", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -159,14 +159,14 @@ cc_library( ":compare", ":position", ":split", - "//common/util:algorithm", - "//common/util:container-iterator-range", - "//common/util:file-util", - "//common/util:iterator-adaptors", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:status-macros", - "//common/util:user-interaction", + "//verible/common/util:algorithm", + "//verible/common/util:container-iterator-range", + "//verible/common/util:file-util", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:status-macros", + "//verible/common/util:user-interaction", "@com_google_absl//absl/base:core_headers", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", @@ -195,8 +195,8 @@ cc_library( srcs = ["position.cc"], hdrs = ["position.h"], deps = [ - "//common/util:interval", - "//common/util:interval-set", + "//verible/common/util:interval", + "//verible/common/util:interval-set", "@com_google_absl//absl/strings:string_view", ], ) @@ -217,9 +217,9 @@ cc_library( srcs = ["random.cc"], hdrs = ["random.h"], deps = [ - "//common/util:interval-set", - "//common/util:iterator-range", - "//common/util:logging", + "//verible/common/util:interval-set", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -239,8 +239,8 @@ cc_library( srcs = ["range.cc"], hdrs = ["range.h"], deps = [ - "//common/util:logging", - "//common/util:range", + "//verible/common/util:logging", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", ], ) @@ -250,7 +250,7 @@ cc_test( srcs = ["range_test.cc"], deps = [ ":range", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -273,7 +273,7 @@ cc_test( deps = [ ":range", ":split", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -285,7 +285,7 @@ cc_library( srcs = ["rebase.cc"], hdrs = ["rebase.h"], deps = [ - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -295,7 +295,7 @@ cc_test( srcs = ["rebase_test.cc"], deps = [ ":rebase", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -313,11 +313,11 @@ cc_library( srcs = ["line-column-map.cc"], hdrs = ["line-column-map.h"], visibility = [ - "//common/analysis:__pkg__", - "//common/text:__pkg__", - "//verilog/analysis:__pkg__", - "//verilog/formatting:__pkg__", - "//verilog/tools/ls:__pkg__", + "//verible/common/analysis:__pkg__", + "//verible/common/text:__pkg__", + "//verible/verilog/analysis:__pkg__", + "//verible/verilog/formatting:__pkg__", + "//verible/verilog/tools/ls:__pkg__", ], deps = [ ":utf8", @@ -352,9 +352,9 @@ cc_library( hdrs = ["string-memory-map.h"], deps = [ ":range", - "//common/util:interval-map", - "//common/util:interval-set", - "//common/util:logging", + "//verible/common/util:interval-map", + "//verible/common/util:interval-set", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -365,8 +365,8 @@ cc_test( deps = [ ":range", ":string-memory-map", - "//common/util:logging", - "//common/util:range", + "//verible/common/util:logging", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", diff --git a/common/strings/README.md b/verible/common/strings/README.md similarity index 100% rename from common/strings/README.md rename to verible/common/strings/README.md diff --git a/common/strings/comment-utils.cc b/verible/common/strings/comment-utils.cc similarity index 96% rename from common/strings/comment-utils.cc rename to verible/common/strings/comment-utils.cc index 537144adb..7afdad184 100644 --- a/common/strings/comment-utils.cc +++ b/verible/common/strings/comment-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/comment-utils.h" +#include "verible/common/strings/comment-utils.h" #include #include @@ -20,8 +20,8 @@ #include "absl/strings/ascii.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" -#include "common/util/range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" namespace verible { diff --git a/common/strings/comment-utils.h b/verible/common/strings/comment-utils.h similarity index 100% rename from common/strings/comment-utils.h rename to verible/common/strings/comment-utils.h diff --git a/common/strings/comment-utils_test.cc b/verible/common/strings/comment-utils_test.cc similarity index 97% rename from common/strings/comment-utils_test.cc rename to verible/common/strings/comment-utils_test.cc index bc36fdbd5..8f64979d4 100644 --- a/common/strings/comment-utils_test.cc +++ b/verible/common/strings/comment-utils_test.cc @@ -12,11 +12,11 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/comment-utils.h" +#include "verible/common/strings/comment-utils.h" #include "absl/strings/string_view.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/strings/compare.h b/verible/common/strings/compare.h similarity index 100% rename from common/strings/compare.h rename to verible/common/strings/compare.h diff --git a/common/strings/compare_test.cc b/verible/common/strings/compare_test.cc similarity index 98% rename from common/strings/compare_test.cc rename to verible/common/strings/compare_test.cc index 39093b53f..b817b924a 100644 --- a/common/strings/compare_test.cc +++ b/verible/common/strings/compare_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/compare.h" +#include "verible/common/strings/compare.h" #include #include diff --git a/common/strings/diff.cc b/verible/common/strings/diff.cc similarity index 97% rename from common/strings/diff.cc rename to verible/common/strings/diff.cc index 0c454a194..c9fea7b05 100644 --- a/common/strings/diff.cc +++ b/verible/common/strings/diff.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/diff.h" +#include "verible/common/strings/diff.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/strings/position.h" -#include "common/strings/split.h" -#include "common/util/iterator-range.h" #include "external_libs/editscript.h" +#include "verible/common/strings/position.h" +#include "verible/common/strings/split.h" +#include "verible/common/util/iterator-range.h" namespace verible { diff --git a/common/strings/diff.h b/verible/common/strings/diff.h similarity index 98% rename from common/strings/diff.h rename to verible/common/strings/diff.h index 51d751a66..8af1e1d0b 100644 --- a/common/strings/diff.h +++ b/verible/common/strings/diff.h @@ -19,8 +19,8 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/position.h" #include "external_libs/editscript.h" +#include "verible/common/strings/position.h" namespace verible { diff --git a/common/strings/diff_test.cc b/verible/common/strings/diff_test.cc similarity index 99% rename from common/strings/diff_test.cc rename to verible/common/strings/diff_test.cc index 96ccc8b87..dcaec1c0f 100644 --- a/common/strings/diff_test.cc +++ b/verible/common/strings/diff_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/diff.h" +#include "verible/common/strings/diff.h" #include #include @@ -22,10 +22,10 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/position.h" #include "external_libs/editscript.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/strings/position.h" namespace diff { // Print functions copied from external_libs/editscript_test.cc diff --git a/common/strings/display-utils.cc b/verible/common/strings/display-utils.cc similarity index 98% rename from common/strings/display-utils.cc rename to verible/common/strings/display-utils.cc index e30136931..4f68d6ddf 100644 --- a/common/strings/display-utils.cc +++ b/verible/common/strings/display-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/display-utils.h" +#include "verible/common/strings/display-utils.h" #include #include diff --git a/common/strings/display-utils.h b/verible/common/strings/display-utils.h similarity index 100% rename from common/strings/display-utils.h rename to verible/common/strings/display-utils.h diff --git a/common/strings/display-utils_test.cc b/verible/common/strings/display-utils_test.cc similarity index 98% rename from common/strings/display-utils_test.cc rename to verible/common/strings/display-utils_test.cc index c33476c10..3b905b922 100644 --- a/common/strings/display-utils_test.cc +++ b/verible/common/strings/display-utils_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/display-utils.h" +#include "verible/common/strings/display-utils.h" #include #include diff --git a/common/strings/line-column-map.cc b/verible/common/strings/line-column-map.cc similarity index 97% rename from common/strings/line-column-map.cc rename to verible/common/strings/line-column-map.cc index d792ece60..92d4ebb30 100644 --- a/common/strings/line-column-map.cc +++ b/verible/common/strings/line-column-map.cc @@ -13,7 +13,7 @@ // limitations under the License. // Implementation for LineColumnMap. -#include "common/strings/line-column-map.h" +#include "verible/common/strings/line-column-map.h" #include // for binary search #include @@ -22,7 +22,7 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/utf8.h" +#include "verible/common/strings/utf8.h" namespace verible { diff --git a/common/strings/line-column-map.h b/verible/common/strings/line-column-map.h similarity index 100% rename from common/strings/line-column-map.h rename to verible/common/strings/line-column-map.h diff --git a/common/strings/line-column-map_test.cc b/verible/common/strings/line-column-map_test.cc similarity index 99% rename from common/strings/line-column-map_test.cc rename to verible/common/strings/line-column-map_test.cc index b33395774..7456f5cf2 100644 --- a/common/strings/line-column-map_test.cc +++ b/verible/common/strings/line-column-map_test.cc @@ -14,7 +14,7 @@ // Tests for LineColumnMap. -#include "common/strings/line-column-map.h" +#include "verible/common/strings/line-column-map.h" #include #include // IWYU pragma: keep // for ostringstream diff --git a/common/strings/mem-block.h b/verible/common/strings/mem-block.h similarity index 100% rename from common/strings/mem-block.h rename to verible/common/strings/mem-block.h diff --git a/common/strings/naming-utils.cc b/verible/common/strings/naming-utils.cc similarity index 97% rename from common/strings/naming-utils.cc rename to verible/common/strings/naming-utils.cc index 006f73442..a280d9ae3 100644 --- a/common/strings/naming-utils.cc +++ b/verible/common/strings/naming-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/naming-utils.h" +#include "verible/common/strings/naming-utils.h" #include diff --git a/common/strings/naming-utils.h b/verible/common/strings/naming-utils.h similarity index 100% rename from common/strings/naming-utils.h rename to verible/common/strings/naming-utils.h diff --git a/common/strings/naming-utils_test.cc b/verible/common/strings/naming-utils_test.cc similarity index 98% rename from common/strings/naming-utils_test.cc rename to verible/common/strings/naming-utils_test.cc index 3409a8b14..59de6eaf3 100644 --- a/common/strings/naming-utils_test.cc +++ b/verible/common/strings/naming-utils_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/naming-utils.h" +#include "verible/common/strings/naming-utils.h" #include "gtest/gtest.h" diff --git a/common/strings/obfuscator.cc b/verible/common/strings/obfuscator.cc similarity index 96% rename from common/strings/obfuscator.cc rename to verible/common/strings/obfuscator.cc index cdbcadedd..3213c2ccf 100644 --- a/common/strings/obfuscator.cc +++ b/verible/common/strings/obfuscator.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/obfuscator.h" +#include "verible/common/strings/obfuscator.h" #include #include @@ -23,7 +23,7 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/strings/obfuscator.h b/verible/common/strings/obfuscator.h similarity index 97% rename from common/strings/obfuscator.h rename to verible/common/strings/obfuscator.h index b5fcb0309..213799798 100644 --- a/common/strings/obfuscator.h +++ b/verible/common/strings/obfuscator.h @@ -20,8 +20,8 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "common/util/bijective-map.h" +#include "verible/common/strings/compare.h" +#include "verible/common/util/bijective-map.h" namespace verible { diff --git a/common/strings/obfuscator_test.cc b/verible/common/strings/obfuscator_test.cc similarity index 97% rename from common/strings/obfuscator_test.cc rename to verible/common/strings/obfuscator_test.cc index 4fe8af6cb..3d18128f2 100644 --- a/common/strings/obfuscator_test.cc +++ b/verible/common/strings/obfuscator_test.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/obfuscator.h" +#include "verible/common/strings/obfuscator.h" #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/random.h" -#include "common/util/bijective-map.h" -#include "common/util/logging.h" #include "gtest/gtest.h" +#include "verible/common/strings/random.h" +#include "verible/common/util/bijective-map.h" +#include "verible/common/util/logging.h" namespace verible { namespace { diff --git a/common/strings/patch.cc b/verible/common/strings/patch.cc similarity index 97% rename from common/strings/patch.cc rename to verible/common/strings/patch.cc index 30a23ba64..b0d426bfd 100644 --- a/common/strings/patch.cc +++ b/verible/common/strings/patch.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/patch.h" +#include "verible/common/strings/patch.h" #include #include @@ -33,16 +33,16 @@ #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" #include "absl/strings/strip.h" -#include "common/strings/position.h" -#include "common/strings/split.h" -#include "common/util/algorithm.h" -#include "common/util/container-iterator-range.h" -#include "common/util/file-util.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "common/util/user-interaction.h" +#include "verible/common/strings/position.h" +#include "verible/common/strings/split.h" +#include "verible/common/util/algorithm.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/common/util/user-interaction.h" namespace verible { diff --git a/common/strings/patch.h b/verible/common/strings/patch.h similarity index 98% rename from common/strings/patch.h rename to verible/common/strings/patch.h index 88bff40ee..f6da20050 100644 --- a/common/strings/patch.h +++ b/verible/common/strings/patch.h @@ -24,10 +24,10 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "common/strings/position.h" -#include "common/util/container-iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/strings/compare.h" +#include "verible/common/strings/position.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { namespace internal { diff --git a/common/strings/patch_test.cc b/verible/common/strings/patch_test.cc similarity index 99% rename from common/strings/patch_test.cc rename to verible/common/strings/patch_test.cc index 1c1a4a80e..258221fb7 100644 --- a/common/strings/patch_test.cc +++ b/verible/common/strings/patch_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/patch.h" +#include "verible/common/strings/patch.h" #include #include @@ -27,9 +27,9 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/strings/position.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/strings/position.h" namespace verible { diff --git a/common/strings/position.cc b/verible/common/strings/position.cc similarity index 96% rename from common/strings/position.cc rename to verible/common/strings/position.cc index 309834596..a23a874da 100644 --- a/common/strings/position.cc +++ b/verible/common/strings/position.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/position.h" +#include "verible/common/strings/position.h" #include "absl/strings/string_view.h" diff --git a/common/strings/position.h b/verible/common/strings/position.h similarity index 96% rename from common/strings/position.h rename to verible/common/strings/position.h index 0486d4bf5..cee237e25 100644 --- a/common/strings/position.h +++ b/verible/common/strings/position.h @@ -18,8 +18,8 @@ #include #include "absl/strings/string_view.h" -#include "common/util/interval-set.h" -#include "common/util/interval.h" +#include "verible/common/util/interval-set.h" +#include "verible/common/util/interval.h" namespace verible { diff --git a/common/strings/position_test.cc b/verible/common/strings/position_test.cc similarity index 98% rename from common/strings/position_test.cc rename to verible/common/strings/position_test.cc index f20bf008b..5b1a0abeb 100644 --- a/common/strings/position_test.cc +++ b/verible/common/strings/position_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/position.h" +#include "verible/common/strings/position.h" #include "absl/strings/string_view.h" #include "gtest/gtest.h" diff --git a/common/strings/random.cc b/verible/common/strings/random.cc similarity index 88% rename from common/strings/random.cc rename to verible/common/strings/random.cc index 18bb1128e..c6c45a380 100644 --- a/common/strings/random.cc +++ b/verible/common/strings/random.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/random.h" +#include "verible/common/strings/random.h" #include #include "absl/strings/string_view.h" -#include "common/util/interval-set.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/util/interval-set.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/strings/random.h b/verible/common/strings/random.h similarity index 100% rename from common/strings/random.h rename to verible/common/strings/random.h diff --git a/common/strings/random_test.cc b/verible/common/strings/random_test.cc similarity index 97% rename from common/strings/random_test.cc rename to verible/common/strings/random_test.cc index a79bae134..d34b5b3c8 100644 --- a/common/strings/random_test.cc +++ b/verible/common/strings/random_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/random.h" +#include "verible/common/strings/random.h" #include diff --git a/common/strings/range.cc b/verible/common/strings/range.cc similarity index 90% rename from common/strings/range.cc rename to verible/common/strings/range.cc index 6add200e1..8a3723886 100644 --- a/common/strings/range.cc +++ b/verible/common/strings/range.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/range.h" +#include "verible/common/strings/range.h" #include #include #include "absl/strings/string_view.h" -#include "common/util/logging.h" -#include "common/util/range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" namespace verible { diff --git a/common/strings/range.h b/verible/common/strings/range.h similarity index 100% rename from common/strings/range.h rename to verible/common/strings/range.h diff --git a/common/strings/range_test.cc b/verible/common/strings/range_test.cc similarity index 97% rename from common/strings/range_test.cc rename to verible/common/strings/range_test.cc index 911480153..8d277cccc 100644 --- a/common/strings/range_test.cc +++ b/verible/common/strings/range_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/range.h" +#include "verible/common/strings/range.h" #include #include #include "absl/strings/string_view.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/strings/rebase.cc b/verible/common/strings/rebase.cc similarity index 92% rename from common/strings/rebase.cc rename to verible/common/strings/rebase.cc index a8273887b..56724d42c 100644 --- a/common/strings/rebase.cc +++ b/verible/common/strings/rebase.cc @@ -12,10 +12,10 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/rebase.h" +#include "verible/common/strings/rebase.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/strings/rebase.h b/verible/common/strings/rebase.h similarity index 100% rename from common/strings/rebase.h rename to verible/common/strings/rebase.h diff --git a/common/strings/rebase_test.cc b/verible/common/strings/rebase_test.cc similarity index 98% rename from common/strings/rebase_test.cc rename to verible/common/strings/rebase_test.cc index 43682d854..dc46da410 100644 --- a/common/strings/rebase_test.cc +++ b/verible/common/strings/rebase_test.cc @@ -14,13 +14,13 @@ // Unit tests for RebaseStringView -#include "common/strings/rebase.h" +#include "verible/common/strings/rebase.h" #include #include "absl/strings/string_view.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/strings/split.cc b/verible/common/strings/split.cc similarity index 97% rename from common/strings/split.cc rename to verible/common/strings/split.cc index 77c783f5b..d8194762a 100644 --- a/common/strings/split.cc +++ b/verible/common/strings/split.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/split.h" +#include "verible/common/strings/split.h" #include #include diff --git a/common/strings/split.h b/verible/common/strings/split.h similarity index 100% rename from common/strings/split.h rename to verible/common/strings/split.h diff --git a/common/strings/split_test.cc b/verible/common/strings/split_test.cc similarity index 98% rename from common/strings/split_test.cc rename to verible/common/strings/split_test.cc index c55f89810..649187c17 100644 --- a/common/strings/split_test.cc +++ b/verible/common/strings/split_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/split.h" +#include "verible/common/strings/split.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/strings/range.h" -#include "common/util/range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/strings/range.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/strings/string-memory-map.h b/verible/common/strings/string-memory-map.h similarity index 97% rename from common/strings/string-memory-map.h rename to verible/common/strings/string-memory-map.h index 59dded186..b60c007f1 100644 --- a/common/strings/string-memory-map.h +++ b/verible/common/strings/string-memory-map.h @@ -18,10 +18,10 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/range.h" -#include "common/util/interval-map.h" -#include "common/util/interval-set.h" -#include "common/util/logging.h" +#include "verible/common/strings/range.h" +#include "verible/common/util/interval-map.h" +#include "verible/common/util/interval-set.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/strings/string-memory-map_test.cc b/verible/common/strings/string-memory-map_test.cc similarity index 97% rename from common/strings/string-memory-map_test.cc rename to verible/common/strings/string-memory-map_test.cc index 383448d52..9023f5fa2 100644 --- a/common/strings/string-memory-map_test.cc +++ b/verible/common/strings/string-memory-map_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/string-memory-map.h" +#include "verible/common/strings/string-memory-map.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/strings/range.h" -#include "common/util/logging.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/strings/range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/strings/utf8.h b/verible/common/strings/utf8.h similarity index 100% rename from common/strings/utf8.h rename to verible/common/strings/utf8.h diff --git a/common/strings/utf8_test.cc b/verible/common/strings/utf8_test.cc similarity index 98% rename from common/strings/utf8_test.cc rename to verible/common/strings/utf8_test.cc index 88e7c98d7..cd7f4114c 100644 --- a/common/strings/utf8_test.cc +++ b/verible/common/strings/utf8_test.cc @@ -11,7 +11,7 @@ // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. // See the License for the specific language governing permissions and // limitations under the License. -#include "common/strings/utf8.h" +#include "verible/common/strings/utf8.h" #include diff --git a/common/text/BUILD b/verible/common/text/BUILD similarity index 80% rename from common/text/BUILD rename to verible/common/text/BUILD index c9ee404e2..9d7020957 100644 --- a/common/text/BUILD +++ b/verible/common/text/BUILD @@ -7,11 +7,11 @@ package( # strings/ # util/ default_visibility = [ - "//common/analysis:__subpackages__", - "//common/formatting:__subpackages__", - "//common/lexer:__subpackages__", - "//common/parser:__subpackages__", - "//verilog:__subpackages__", + "//verible/common/analysis:__subpackages__", + "//verible/common/formatting:__subpackages__", + "//verible/common/lexer:__subpackages__", + "//verible/common/parser:__subpackages__", + "//verible/verilog:__subpackages__", ], features = ["layering_check"], ) @@ -22,10 +22,10 @@ cc_library( hdrs = ["token-info.h"], deps = [ ":constants", - "//common/strings:rebase", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:range", + "//verible/common/strings:rebase", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:range", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -48,7 +48,7 @@ cc_library( hdrs = ["token-info-test-util.h"], deps = [ ":token-info", - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -59,7 +59,7 @@ cc_library( hdrs = ["token-stream-view.h"], deps = [ ":token-info", - "//common/util:iterator-range", + "//verible/common/util:iterator-range", "@com_google_absl//absl/strings:string_view", ], ) @@ -90,8 +90,8 @@ cc_library( ":token-info", ":tree-compare", ":visitors", - "//common/util:casts", - "//common/util:logging", + "//verible/common/util:casts", + "//verible/common/util:logging", ], ) @@ -117,9 +117,9 @@ cc_library( ":symbol-ptr", ":tree-compare", ":visitors", - "//common/util:casts", - "//common/util:iterator-range", - "//common/util:logging", + "//verible/common/util:casts", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", "@com_google_absl//absl/base:core_headers", ], ) @@ -129,7 +129,7 @@ cc_library( srcs = ["config-utils.cc"], hdrs = ["config-utils.h"], deps = [ - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -164,9 +164,9 @@ cc_library( hdrs = ["syntax-tree-context.h"], deps = [ ":concrete-syntax-tree", - "//common/util:auto-pop-stack", - "//common/util:iterator-adaptors", - "//common/util:logging", + "//verible/common/util:auto-pop-stack", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:logging", ], ) @@ -202,8 +202,8 @@ cc_library( ":concrete-syntax-tree", ":syntax-tree-context", ":visitors", - "//common/strings:display-utils", - "//common/util:logging", + "//verible/common/strings:display-utils", + "//verible/common/util:logging", ], ) @@ -233,12 +233,12 @@ cc_library( ":symbol", ":token-info", ":visitors", - "//common/util:casts", - "//common/util:iterator-adaptors", - "//common/util:logging", - "//common/util:spacer", - "//common/util:type-traits", - "//common/util:value-saver", + "//verible/common/util:casts", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:logging", + "//verible/common/util:spacer", + "//verible/common/util:type-traits", + "//verible/common/util:value-saver", "@com_google_absl//absl/log:check", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -255,7 +255,7 @@ cc_library( ":concrete-syntax-tree", ":symbol", ":tree-utils", - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -283,12 +283,12 @@ cc_library( ":token-info", ":token-stream-view", ":tree-utils", - "//common/strings:line-column-map", - "//common/strings:mem-block", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:range", - "//common/util:status-macros", + "//verible/common/strings:line-column-map", + "//verible/common/strings:mem-block", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:status-macros", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -306,7 +306,7 @@ cc_library( ":token-info", ":token-stream-view", ":tree-builder-test-util", - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -318,7 +318,7 @@ cc_library( hdrs = ["macro-definition.h"], deps = [ ":token-info", - "//common/util:container-util", + "//verible/common/util:container-util", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -345,14 +345,14 @@ cc_library( hdrs = ["constants.h"], # TODO(fangism): minimize direct exposure of constants visibility = [ - "//common/analysis:__pkg__", - "//common/formatting:__pkg__", - "//common/lexer:__pkg__", - "//verilog/CST:__pkg__", - "//verilog/analysis:__pkg__", - "//verilog/analysis/checkers:__pkg__", - "//verilog/formatting:__pkg__", - "//verilog/parser:__pkg__", + "//verible/common/analysis:__pkg__", + "//verible/common/formatting:__pkg__", + "//verible/common/lexer:__pkg__", + "//verible/verilog/CST:__pkg__", + "//verible/verilog/analysis:__pkg__", + "//verible/verilog/analysis/checkers:__pkg__", + "//verible/verilog/formatting:__pkg__", + "//verible/verilog/parser:__pkg__", ], ) @@ -367,9 +367,9 @@ cc_test( ":tree-builder-test-util", ":tree-compare", ":tree-utils", - "//common/util:casts", - "//common/util:logging", - "//common/util:range", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -385,8 +385,8 @@ cc_test( ":symbol", ":tree-builder-test-util", ":tree-compare", - "//common/util:casts", - "//common/util:logging", + "//verible/common/util:casts", + "//verible/common/util:logging", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -398,7 +398,7 @@ cc_test( deps = [ ":constants", ":token-info", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -439,7 +439,7 @@ cc_test( ":text-structure-test-utils", ":token-info", ":token-stream-view", - "//common/util:iterator-range", + "//verible/common/util:iterator-range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -452,7 +452,7 @@ cc_test( deps = [ ":concrete-syntax-tree", ":syntax-tree-context", - "//common/util:iterator-range", + "//verible/common/util:iterator-range", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -471,12 +471,12 @@ cc_test( ":token-stream-view", ":tree-builder-test-util", ":tree-compare", - "//common/strings:line-column-map", - "//common/util:casts", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:range", - "//common/util:value-saver", + "//verible/common/strings:line-column-map", + "//verible/common/util:casts", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:value-saver", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -490,7 +490,7 @@ cc_test( deps = [ ":macro-definition", ":token-info", - "//common/util:container-util", + "//verible/common/util:container-util", "@com_google_absl//absl/status", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", diff --git a/common/text/README.md b/verible/common/text/README.md similarity index 100% rename from common/text/README.md rename to verible/common/text/README.md diff --git a/common/text/concrete-syntax-leaf.cc b/verible/common/text/concrete-syntax-leaf.cc similarity index 85% rename from common/text/concrete-syntax-leaf.cc rename to verible/common/text/concrete-syntax-leaf.cc index 65cc10ccb..5fbef2ff2 100644 --- a/common/text/concrete-syntax-leaf.cc +++ b/verible/common/text/concrete-syntax-leaf.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-leaf.h" #include #include -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-compare.h" -#include "common/text/visitors.h" -#include "common/util/casts.h" -#include "common/util/logging.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/concrete-syntax-leaf.h b/verible/common/text/concrete-syntax-leaf.h similarity index 93% rename from common/text/concrete-syntax-leaf.h rename to verible/common/text/concrete-syntax-leaf.h index effad546d..b6c976edd 100644 --- a/common/text/concrete-syntax-leaf.h +++ b/verible/common/text/concrete-syntax-leaf.h @@ -21,10 +21,10 @@ #include #include -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-compare.h" -#include "common/text/visitors.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/text/visitors.h" namespace verible { diff --git a/common/text/concrete-syntax-leaf_test.cc b/verible/common/text/concrete-syntax-leaf_test.cc similarity index 93% rename from common/text/concrete-syntax-leaf_test.cc rename to verible/common/text/concrete-syntax-leaf_test.cc index 4bc6647dc..1cb288f0a 100644 --- a/common/text/concrete-syntax-leaf_test.cc +++ b/verible/common/text/concrete-syntax-leaf_test.cc @@ -14,11 +14,11 @@ // Tests for value's argument forwarding constructor -#include "common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-leaf.h" #include "absl/strings/string_view.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/text/concrete-syntax-tree.cc b/verible/common/text/concrete-syntax-tree.cc similarity index 92% rename from common/text/concrete-syntax-tree.cc rename to verible/common/text/concrete-syntax-tree.cc index 78ba7272e..65b9a434c 100644 --- a/common/text/concrete-syntax-tree.cc +++ b/verible/common/text/concrete-syntax-tree.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/concrete-syntax-tree.h" +#include "verible/common/text/concrete-syntax-tree.h" #include #include #include -#include "common/text/symbol.h" -#include "common/text/tree-compare.h" -#include "common/text/visitors.h" -#include "common/util/casts.h" -#include "common/util/logging.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/concrete-syntax-tree.h b/verible/common/text/concrete-syntax-tree.h similarity index 96% rename from common/text/concrete-syntax-tree.h rename to verible/common/text/concrete-syntax-tree.h index 070db2820..f035662eb 100644 --- a/common/text/concrete-syntax-tree.h +++ b/verible/common/text/concrete-syntax-tree.h @@ -43,14 +43,14 @@ #include #include "absl/base/attributes.h" -#include "common/text/constants.h" -#include "common/text/symbol-ptr.h" // IWYU pragma: export -#include "common/text/symbol.h" // IWYU pragma: export -#include "common/text/tree-compare.h" -#include "common/text/visitors.h" -#include "common/util/casts.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/symbol-ptr.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" // IWYU pragma: export +#include "verible/common/text/tree-compare.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/concrete-syntax-tree_test.cc b/verible/common/text/concrete-syntax-tree_test.cc similarity index 97% rename from common/text/concrete-syntax-tree_test.cc rename to verible/common/text/concrete-syntax-tree_test.cc index 707fd548c..d48ee18fa 100644 --- a/common/text/concrete-syntax-tree_test.cc +++ b/verible/common/text/concrete-syntax-tree_test.cc @@ -14,19 +14,19 @@ // Sanity tests for tree node construction functions. -#include "common/text/concrete-syntax-tree.h" +#include "verible/common/text/concrete-syntax-tree.h" #include #include -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/tree-builder-test-util.h" -#include "common/text/tree-compare.h" -#include "common/util/casts.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/config-utils.cc b/verible/common/text/config-utils.cc similarity index 98% rename from common/text/config-utils.cc rename to verible/common/text/config-utils.cc index 47878b0ff..536e97192 100644 --- a/common/text/config-utils.cc +++ b/verible/common/text/config-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/config-utils.h" +#include "verible/common/text/config-utils.h" #include #include @@ -32,8 +32,8 @@ #include "absl/strings/str_join.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" #include "re2/re2.h" +#include "verible/common/util/logging.h" namespace verible { using absl::string_view; diff --git a/common/text/config-utils.h b/verible/common/text/config-utils.h similarity index 100% rename from common/text/config-utils.h rename to verible/common/text/config-utils.h diff --git a/common/text/config-utils_test.cc b/verible/common/text/config-utils_test.cc similarity index 99% rename from common/text/config-utils_test.cc rename to verible/common/text/config-utils_test.cc index c8c69ba32..9ebacf33e 100644 --- a/common/text/config-utils_test.cc +++ b/verible/common/text/config-utils_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/config-utils.h" +#include "verible/common/text/config-utils.h" #include #include diff --git a/common/text/constants.h b/verible/common/text/constants.h similarity index 100% rename from common/text/constants.h rename to verible/common/text/constants.h diff --git a/common/text/macro-definition.cc b/verible/common/text/macro-definition.cc similarity index 96% rename from common/text/macro-definition.cc rename to verible/common/text/macro-definition.cc index 2a12446e6..6912ba23a 100644 --- a/common/text/macro-definition.cc +++ b/verible/common/text/macro-definition.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/macro-definition.h" +#include "verible/common/text/macro-definition.h" #include #include @@ -21,8 +21,8 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/util/container-util.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/container-util.h" namespace verible { diff --git a/common/text/macro-definition.h b/verible/common/text/macro-definition.h similarity index 99% rename from common/text/macro-definition.h rename to verible/common/text/macro-definition.h index 23959e1a0..3128f5626 100644 --- a/common/text/macro-definition.h +++ b/verible/common/text/macro-definition.h @@ -25,7 +25,7 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/text/token-info.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/macro-definition_test.cc b/verible/common/text/macro-definition_test.cc similarity index 98% rename from common/text/macro-definition_test.cc rename to verible/common/text/macro-definition_test.cc index 16731796a..153ef2e0e 100644 --- a/common/text/macro-definition_test.cc +++ b/verible/common/text/macro-definition_test.cc @@ -14,14 +14,14 @@ // Test MacroDefinition and its supporting structs. -#include "common/text/macro-definition.h" +#include "verible/common/text/macro-definition.h" #include #include "absl/status/status.h" -#include "common/text/token-info.h" -#include "common/util/container-util.h" #include "gtest/gtest.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/container-util.h" namespace verible { namespace { diff --git a/common/text/parser-verifier.cc b/verible/common/text/parser-verifier.cc similarity index 87% rename from common/text/parser-verifier.cc rename to verible/common/text/parser-verifier.cc index 183500181..e2458bd9e 100644 --- a/common/text/parser-verifier.cc +++ b/verible/common/text/parser-verifier.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/parser-verifier.h" +#include "verible/common/text/parser-verifier.h" #include #include -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" namespace verible { diff --git a/common/text/parser-verifier.h b/verible/common/text/parser-verifier.h similarity index 88% rename from common/text/parser-verifier.h rename to verible/common/text/parser-verifier.h index 7fa1a8e42..08edcb97a 100644 --- a/common/text/parser-verifier.h +++ b/verible/common/text/parser-verifier.h @@ -17,13 +17,13 @@ #include -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-compare.h" -#include "common/text/visitors.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/text/visitors.h" namespace verible { diff --git a/common/text/parser-verifier_test.cc b/verible/common/text/parser-verifier_test.cc similarity index 94% rename from common/text/parser-verifier_test.cc rename to verible/common/text/parser-verifier_test.cc index 96b35c6f7..986461254 100644 --- a/common/text/parser-verifier_test.cc +++ b/verible/common/text/parser-verifier_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/parser-verifier.h" +#include "verible/common/text/parser-verifier.h" #include #include #include "absl/strings/string_view.h" -#include "common/text/constants.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-builder-test-util.h" #include "gtest/gtest.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-builder-test-util.h" namespace verible { diff --git a/common/text/symbol-ptr.h b/verible/common/text/symbol-ptr.h similarity index 100% rename from common/text/symbol-ptr.h rename to verible/common/text/symbol-ptr.h diff --git a/common/text/symbol.cc b/verible/common/text/symbol.cc similarity index 95% rename from common/text/symbol.cc rename to verible/common/text/symbol.cc index 827d122bb..af64d47b4 100644 --- a/common/text/symbol.cc +++ b/verible/common/text/symbol.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/symbol.h" +#include "verible/common/text/symbol.h" #include diff --git a/common/text/symbol.h b/verible/common/text/symbol.h similarity index 94% rename from common/text/symbol.h rename to verible/common/text/symbol.h index 4a007f2ae..4a349daa3 100644 --- a/common/text/symbol.h +++ b/verible/common/text/symbol.h @@ -21,9 +21,9 @@ #include #include -#include "common/text/symbol-ptr.h" // IWYU pragma: export -#include "common/text/token-info.h" -#include "common/text/visitors.h" +#include "verible/common/text/symbol-ptr.h" // IWYU pragma: export +#include "verible/common/text/token-info.h" +#include "verible/common/text/visitors.h" namespace verible { using TokenComparator = diff --git a/common/text/syntax-tree-context.h b/verible/common/text/syntax-tree-context.h similarity index 96% rename from common/text/syntax-tree-context.h rename to verible/common/text/syntax-tree-context.h index a9ddd641f..ce506250e 100644 --- a/common/text/syntax-tree-context.h +++ b/verible/common/text/syntax-tree-context.h @@ -21,10 +21,10 @@ #include #include -#include "common/text/concrete-syntax-tree.h" -#include "common/util/auto-pop-stack.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/logging.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/util/auto-pop-stack.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/syntax-tree-context_test.cc b/verible/common/text/syntax-tree-context_test.cc similarity index 98% rename from common/text/syntax-tree-context_test.cc rename to verible/common/text/syntax-tree-context_test.cc index 489e0206c..4185112af 100644 --- a/common/text/syntax-tree-context_test.cc +++ b/verible/common/text/syntax-tree-context_test.cc @@ -12,12 +12,12 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/syntax-tree-context.h" +#include "verible/common/text/syntax-tree-context.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/util/iterator-range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/util/iterator-range.h" namespace verible { namespace { diff --git a/common/text/text-structure-test-utils.cc b/verible/common/text/text-structure-test-utils.cc similarity index 91% rename from common/text/text-structure-test-utils.cc rename to verible/common/text/text-structure-test-utils.cc index 8938a5ce8..b907572c4 100644 --- a/common/text/text-structure-test-utils.cc +++ b/verible/common/text/text-structure-test-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/text-structure-test-utils.h" +#include "verible/common/text/text-structure-test-utils.h" #include #include @@ -23,12 +23,12 @@ #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/logging.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/text-structure-test-utils.h b/verible/common/text/text-structure-test-utils.h similarity index 94% rename from common/text/text-structure-test-utils.h rename to verible/common/text/text-structure-test-utils.h index 369a472f1..11bb8b37b 100644 --- a/common/text/text-structure-test-utils.h +++ b/verible/common/text/text-structure-test-utils.h @@ -19,8 +19,8 @@ #include #include -#include "common/text/text-structure.h" -#include "common/text/token-info.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/text-structure.cc b/verible/common/text/text-structure.cc similarity index 97% rename from common/text/text-structure.cc rename to verible/common/text/text-structure.cc index a50a0cecc..367d5934a 100644 --- a/common/text/text-structure.cc +++ b/verible/common/text/text-structure.cc @@ -14,7 +14,7 @@ // Implementation of TextStructure methods. -#include "common/text/text-structure.h" +#include "verible/common/text/text-structure.h" #include #include @@ -29,18 +29,18 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/strings/mem-block.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-utils.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/status-macros.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/status-macros.h" namespace verible { diff --git a/common/text/text-structure.h b/verible/common/text/text-structure.h similarity index 97% rename from common/text/text-structure.h rename to verible/common/text/text-structure.h index 1e84f7683..c901dee9d 100644 --- a/common/text/text-structure.h +++ b/verible/common/text/text-structure.h @@ -33,13 +33,13 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/strings/mem-block.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-utils.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-utils.h" namespace verilog { class VerilogPreprocess; diff --git a/common/text/text-structure_test.cc b/verible/common/text/text-structure_test.cc similarity index 97% rename from common/text/text-structure_test.cc rename to verible/common/text/text-structure_test.cc index e1f95d6c8..f5ce8cd3a 100644 --- a/common/text/text-structure_test.cc +++ b/verible/common/text/text-structure_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/text-structure.h" +#include "verible/common/text/text-structure.h" #include #include @@ -24,22 +24,22 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/constants.h" -#include "common/text/symbol.h" -#include "common/text/text-structure-test-utils.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-builder-test-util.h" -#include "common/text/tree-compare.h" -#include "common/util/casts.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/value-saver.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure-test-utils.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/value-saver.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/common/text/token-info-json.cc b/verible/common/text/token-info-json.cc similarity index 92% rename from common/text/token-info-json.cc rename to verible/common/text/token-info-json.cc index 9ed25a02f..d78477f61 100644 --- a/common/text/token-info-json.cc +++ b/verible/common/text/token-info-json.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-info-json.h" +#include "verible/common/text/token-info-json.h" #include #include -#include "common/text/token-info.h" #include "nlohmann/json.hpp" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/token-info-json.h b/verible/common/text/token-info-json.h similarity index 95% rename from common/text/token-info-json.h rename to verible/common/text/token-info-json.h index 71137ce0d..a78c4f35d 100644 --- a/common/text/token-info-json.h +++ b/verible/common/text/token-info-json.h @@ -15,8 +15,8 @@ #ifndef VERIBLE_COMMON_TEXT_TOKEN_INFO_JSON_H_ #define VERIBLE_COMMON_TEXT_TOKEN_INFO_JSON_H_ -#include "common/text/token-info.h" #include "nlohmann/json.hpp" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/token-info-json_test.cc b/verible/common/text/token-info-json_test.cc similarity index 94% rename from common/text/token-info-json_test.cc rename to verible/common/text/token-info-json_test.cc index b9e5442a9..6c2b15724 100644 --- a/common/text/token-info-json_test.cc +++ b/verible/common/text/token-info-json_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-info-json.h" +#include "verible/common/text/token-info-json.h" #include #include "absl/strings/string_view.h" -#include "common/text/constants.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" #include "nlohmann/json.hpp" +#include "verible/common/text/constants.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/text/token-info-test-util.cc b/verible/common/text/token-info-test-util.cc similarity index 96% rename from common/text/token-info-test-util.cc rename to verible/common/text/token-info-test-util.cc index ddc659a9f..5b68fe258 100644 --- a/common/text/token-info-test-util.cc +++ b/verible/common/text/token-info-test-util.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-info-test-util.h" +#include "verible/common/text/token-info-test-util.h" #include #include @@ -20,8 +20,8 @@ #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/token-info-test-util.h b/verible/common/text/token-info-test-util.h similarity index 99% rename from common/text/token-info-test-util.h rename to verible/common/text/token-info-test-util.h index 42757eedf..b3fc4288b 100644 --- a/common/text/token-info-test-util.h +++ b/verible/common/text/token-info-test-util.h @@ -20,7 +20,7 @@ #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/token-info-test-util_test.cc b/verible/common/text/token-info-test-util_test.cc similarity index 98% rename from common/text/token-info-test-util_test.cc rename to verible/common/text/token-info-test-util_test.cc index 7674701d4..796e60b78 100644 --- a/common/text/token-info-test-util_test.cc +++ b/verible/common/text/token-info-test-util_test.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-info-test-util.h" +#include "verible/common/text/token-info-test-util.h" #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" +#include "verible/common/text/token-info.h" namespace verible { namespace { diff --git a/common/text/token-info.cc b/verible/common/text/token-info.cc similarity index 93% rename from common/text/token-info.cc rename to verible/common/text/token-info.cc index 0ef10d4b4..ca8109330 100644 --- a/common/text/token-info.cc +++ b/verible/common/text/token-info.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-info.h" +#include "verible/common/text/token-info.h" #include #include @@ -22,10 +22,10 @@ #include "absl/strings/escaping.h" #include "absl/strings/string_view.h" -#include "common/strings/rebase.h" -#include "common/text/constants.h" -#include "common/util/logging.h" -#include "common/util/range.h" +#include "verible/common/strings/rebase.h" +#include "verible/common/text/constants.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" namespace verible { diff --git a/common/text/token-info.h b/verible/common/text/token-info.h similarity index 98% rename from common/text/token-info.h rename to verible/common/text/token-info.h index 0c8b963ee..5c112add2 100644 --- a/common/text/token-info.h +++ b/verible/common/text/token-info.h @@ -25,8 +25,8 @@ #include #include "absl/strings/string_view.h" -#include "common/text/constants.h" -#include "common/util/iterator-range.h" +#include "verible/common/text/constants.h" +#include "verible/common/util/iterator-range.h" namespace verible { diff --git a/common/text/token-info_test.cc b/verible/common/text/token-info_test.cc similarity index 99% rename from common/text/token-info_test.cc rename to verible/common/text/token-info_test.cc index ae8a47e31..ad651d8e5 100644 --- a/common/text/token-info_test.cc +++ b/verible/common/text/token-info_test.cc @@ -14,7 +14,7 @@ // Unit tests for TokenInfo -#include "common/text/token-info.h" +#include "verible/common/text/token-info.h" #include #include @@ -23,9 +23,9 @@ #include #include "absl/strings/string_view.h" -#include "common/text/constants.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/text/constants.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/text/token-stream-view.cc b/verible/common/text/token-stream-view.cc similarity index 93% rename from common/text/token-stream-view.cc rename to verible/common/text/token-stream-view.cc index cea046555..65f508492 100644 --- a/common/text/token-stream-view.cc +++ b/verible/common/text/token-stream-view.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-stream-view.h" +#include "verible/common/text/token-stream-view.h" #include #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/util/iterator-range.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/iterator-range.h" namespace verible { diff --git a/common/text/token-stream-view.h b/verible/common/text/token-stream-view.h similarity index 96% rename from common/text/token-stream-view.h rename to verible/common/text/token-stream-view.h index 7919ba283..7a08ddf98 100644 --- a/common/text/token-stream-view.h +++ b/verible/common/text/token-stream-view.h @@ -21,8 +21,8 @@ #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/util/iterator-range.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/iterator-range.h" namespace verible { diff --git a/common/text/token-stream-view_test.cc b/verible/common/text/token-stream-view_test.cc similarity index 93% rename from common/text/token-stream-view_test.cc rename to verible/common/text/token-stream-view_test.cc index cced4200b..2f9b4bddf 100644 --- a/common/text/token-stream-view_test.cc +++ b/verible/common/text/token-stream-view_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/token-stream-view.h" +#include "verible/common/text/token-stream-view.h" #include #include #include "absl/strings/string_view.h" -#include "common/text/text-structure-test-utils.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/iterator-range.h" #include "gtest/gtest.h" +#include "verible/common/text/text-structure-test-utils.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/iterator-range.h" namespace verible { diff --git a/common/text/tree-builder-test-util.cc b/verible/common/text/tree-builder-test-util.cc similarity index 87% rename from common/text/tree-builder-test-util.cc rename to verible/common/text/tree-builder-test-util.cc index 53137c72c..35e23c3d7 100644 --- a/common/text/tree-builder-test-util.cc +++ b/verible/common/text/tree-builder-test-util.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-builder-test-util.h" #include #include #include "absl/strings/string_view.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/tree-builder-test-util.h b/verible/common/text/tree-builder-test-util.h similarity index 94% rename from common/text/tree-builder-test-util.h rename to verible/common/text/tree-builder-test-util.h index f3297cc42..7fa238588 100644 --- a/common/text/tree-builder-test-util.h +++ b/verible/common/text/tree-builder-test-util.h @@ -21,8 +21,8 @@ #include #include -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" namespace verible { diff --git a/common/text/tree-builder-test-util_test.cc b/verible/common/text/tree-builder-test-util_test.cc similarity index 97% rename from common/text/tree-builder-test-util_test.cc rename to verible/common/text/tree-builder-test-util_test.cc index 0c45adde4..aa0aa6ff1 100644 --- a/common/text/tree-builder-test-util_test.cc +++ b/verible/common/text/tree-builder-test-util_test.cc @@ -12,11 +12,11 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-builder-test-util.h" -#include "common/text/symbol-ptr.h" -#include "common/text/tree-utils.h" #include "gtest/gtest.h" +#include "verible/common/text/symbol-ptr.h" +#include "verible/common/text/tree-utils.h" namespace verible { namespace { diff --git a/common/text/tree-compare.cc b/verible/common/text/tree-compare.cc similarity index 92% rename from common/text/tree-compare.cc rename to verible/common/text/tree-compare.cc index 096c2f34c..a30829917 100644 --- a/common/text/tree-compare.cc +++ b/verible/common/text/tree-compare.cc @@ -12,11 +12,11 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-compare.h" +#include "verible/common/text/tree-compare.h" #include "absl/strings/string_view.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/tree-compare.h b/verible/common/text/tree-compare.h similarity index 95% rename from common/text/tree-compare.h rename to verible/common/text/tree-compare.h index 775739a32..4f5fac3f4 100644 --- a/common/text/tree-compare.h +++ b/verible/common/text/tree-compare.h @@ -19,8 +19,8 @@ #include -#include "common/text/symbol.h" -#include "common/text/token-info.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" namespace verible { diff --git a/common/text/tree-compare_test.cc b/verible/common/text/tree-compare_test.cc similarity index 98% rename from common/text/tree-compare_test.cc rename to verible/common/text/tree-compare_test.cc index a06a48f0e..d6584b889 100644 --- a/common/text/tree-compare_test.cc +++ b/verible/common/text/tree-compare_test.cc @@ -12,12 +12,12 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-compare.h" +#include "verible/common/text/tree-compare.h" #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/tree-builder-test-util.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/tree-builder-test-util.h" namespace verible { namespace { diff --git a/common/text/tree-context-visitor.cc b/verible/common/text/tree-context-visitor.cc similarity index 90% rename from common/text/tree-context-visitor.cc rename to verible/common/text/tree-context-visitor.cc index a08e69613..955f4dd80 100644 --- a/common/text/tree-context-visitor.cc +++ b/verible/common/text/tree-context-visitor.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-context-visitor.h" +#include "verible/common/text/tree-context-visitor.h" #include -#include "common/strings/display-utils.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "common/util/logging.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/text/tree-context-visitor.h b/verible/common/text/tree-context-visitor.h similarity index 96% rename from common/text/tree-context-visitor.h rename to verible/common/text/tree-context-visitor.h index c97975915..a08c30fde 100644 --- a/common/text/tree-context-visitor.h +++ b/verible/common/text/tree-context-visitor.h @@ -17,9 +17,9 @@ #include -#include "common/strings/display-utils.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/visitors.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/visitors.h" namespace verible { diff --git a/common/text/tree-context-visitor_test.cc b/verible/common/text/tree-context-visitor_test.cc similarity index 97% rename from common/text/tree-context-visitor_test.cc rename to verible/common/text/tree-context-visitor_test.cc index 3880c2c08..6301f7f46 100644 --- a/common/text/tree-context-visitor_test.cc +++ b/verible/common/text/tree-context-visitor_test.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-context-visitor.h" +#include "verible/common/text/tree-context-visitor.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol-ptr.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-builder-test-util.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol-ptr.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-builder-test-util.h" namespace verible { namespace { diff --git a/common/text/tree-utils.cc b/verible/common/text/tree-utils.cc similarity index 96% rename from common/text/tree-utils.cc rename to verible/common/text/tree-utils.cc index 24718c0e9..5dcdaaf89 100644 --- a/common/text/tree-utils.cc +++ b/verible/common/text/tree-utils.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-utils.h" +#include "verible/common/text/tree-utils.h" #include #include @@ -24,16 +24,16 @@ #include "absl/log/check.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/visitors.h" -#include "common/util/casts.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/value-saver.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/value-saver.h" namespace verible { diff --git a/common/text/tree-utils.h b/verible/common/text/tree-utils.h similarity index 97% rename from common/text/tree-utils.h rename to verible/common/text/tree-utils.h index 709843492..504c60b0a 100644 --- a/common/text/tree-utils.h +++ b/verible/common/text/tree-utils.h @@ -22,13 +22,13 @@ #include #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/visitors.h" -#include "common/util/logging.h" -#include "common/util/type-traits.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/type-traits.h" namespace verible { diff --git a/common/text/tree-utils_test.cc b/verible/common/text/tree-utils_test.cc similarity index 99% rename from common/text/tree-utils_test.cc rename to verible/common/text/tree-utils_test.cc index 7d1659498..f5268f925 100644 --- a/common/text/tree-utils_test.cc +++ b/verible/common/text/tree-utils_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/text/tree-utils.h" +#include "verible/common/text/tree-utils.h" #include #include @@ -20,16 +20,16 @@ #include // IWYU pragma: keep // for ostringstream #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" -#include "common/text/tree-compare.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/text/visitors.h b/verible/common/text/visitors.h similarity index 98% rename from common/text/visitors.h rename to verible/common/text/visitors.h index df8dd92f5..57e098648 100644 --- a/common/text/visitors.h +++ b/verible/common/text/visitors.h @@ -15,7 +15,7 @@ #ifndef VERIBLE_COMMON_TEXT_VISITORS_H_ #define VERIBLE_COMMON_TEXT_VISITORS_H_ -#include "common/text/symbol-ptr.h" +#include "verible/common/text/symbol-ptr.h" namespace verible { diff --git a/common/tools/BUILD b/verible/common/tools/BUILD similarity index 83% rename from common/tools/BUILD rename to verible/common/tools/BUILD index 9a1d58b42..0559021bf 100644 --- a/common/tools/BUILD +++ b/verible/common/tools/BUILD @@ -20,12 +20,12 @@ cc_binary( srcs = ["patch-tool.cc"], features = STATIC_EXECUTABLES_FEATURE, deps = [ - "//common/strings:patch", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:status-macros", - "//common/util:subcommand", - "//common/util:user-interaction", + "//verible/common/strings:patch", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:status-macros", + "//verible/common/util:subcommand", + "//verible/common/util:user-interaction", "@com_google_absl//absl/flags:usage", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", @@ -44,7 +44,7 @@ sh_test_with_runfiles_lib( ) # This script is intended to run post-install and expect to be co-located with: -# //common/tools:verible-patch-tool +# //verible/common/tools:verible-patch-tool filegroup( name = "verible-transform-interactive", srcs = ["verible-transform-interactive.sh"], diff --git a/common/tools/README.md b/verible/common/tools/README.md similarity index 100% rename from common/tools/README.md rename to verible/common/tools/README.md diff --git a/common/tools/patch-tool.cc b/verible/common/tools/patch-tool.cc similarity index 96% rename from common/tools/patch-tool.cc rename to verible/common/tools/patch-tool.cc index 993b94780..37b167eb6 100644 --- a/common/tools/patch-tool.cc +++ b/verible/common/tools/patch-tool.cc @@ -22,12 +22,12 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/strings/patch.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/status-macros.h" -#include "common/util/subcommand.h" -#include "common/util/user-interaction.h" +#include "verible/common/strings/patch.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/status-macros.h" +#include "verible/common/util/subcommand.h" +#include "verible/common/util/user-interaction.h" using verible::SubcommandArgsRange; using verible::SubcommandEntry; diff --git a/common/tools/patch_tool_test.sh b/verible/common/tools/patch_tool_test.sh similarity index 100% rename from common/tools/patch_tool_test.sh rename to verible/common/tools/patch_tool_test.sh diff --git a/common/tools/verible-transform-interactive-test.sh b/verible/common/tools/verible-transform-interactive-test.sh similarity index 100% rename from common/tools/verible-transform-interactive-test.sh rename to verible/common/tools/verible-transform-interactive-test.sh diff --git a/common/tools/verible-transform-interactive.sh b/verible/common/tools/verible-transform-interactive.sh similarity index 100% rename from common/tools/verible-transform-interactive.sh rename to verible/common/tools/verible-transform-interactive.sh diff --git a/common/util/BUILD b/verible/common/util/BUILD similarity index 98% rename from common/util/BUILD rename to verible/common/util/BUILD index 3f89eb1c1..9d1375d86 100644 --- a/common/util/BUILD +++ b/verible/common/util/BUILD @@ -46,7 +46,7 @@ cc_library( hdrs = ["subcommand.h"], deps = [ ":container-iterator-range", - "//common/strings:compare", + "//verible/common/strings:compare", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -70,7 +70,7 @@ cc_library( hdrs = ["file-util.h"], deps = [ ":logging", - "//common/strings:mem-block", + "//verible/common/strings:mem-block", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", "@com_google_absl//absl/strings", @@ -233,7 +233,7 @@ cc_library( hdrs = ["enum-flags.h"], deps = [ ":bijective-map", - "//common/strings:compare", + "//verible/common/strings:compare", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -550,7 +550,7 @@ cc_test( deps = [ ":bijective-map", ":logging", - "//common/strings:compare", + "//verible/common/strings:compare", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -670,7 +670,7 @@ cc_test( deps = [ ":container-proxy", ":type-traits", - "//common/strings:display-utils", + "//verible/common/strings:display-utils", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], diff --git a/common/util/README.md b/verible/common/util/README.md similarity index 100% rename from common/util/README.md rename to verible/common/util/README.md diff --git a/common/util/algorithm.h b/verible/common/util/algorithm.h similarity index 100% rename from common/util/algorithm.h rename to verible/common/util/algorithm.h diff --git a/common/util/algorithm_test.cc b/verible/common/util/algorithm_test.cc similarity index 99% rename from common/util/algorithm_test.cc rename to verible/common/util/algorithm_test.cc index 327c7ec11..21389eb95 100644 --- a/common/util/algorithm_test.cc +++ b/verible/common/util/algorithm_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/algorithm.h" +#include "verible/common/util/algorithm.h" #include #include // for std::back_inserter @@ -21,9 +21,9 @@ #include #include "absl/strings/string_view.h" -#include "common/util/iterator-range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/iterator-range.h" namespace verible { namespace { diff --git a/common/util/auto-iterator.h b/verible/common/util/auto-iterator.h similarity index 100% rename from common/util/auto-iterator.h rename to verible/common/util/auto-iterator.h diff --git a/common/util/auto-iterator_test.cc b/verible/common/util/auto-iterator_test.cc similarity index 97% rename from common/util/auto-iterator_test.cc rename to verible/common/util/auto-iterator_test.cc index d37f9a11f..8f78a97c4 100644 --- a/common/util/auto-iterator_test.cc +++ b/verible/common/util/auto-iterator_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/auto-iterator.h" +#include "verible/common/util/auto-iterator.h" #include #include diff --git a/common/util/auto-pop-stack.h b/verible/common/util/auto-pop-stack.h similarity index 98% rename from common/util/auto-pop-stack.h rename to verible/common/util/auto-pop-stack.h index 08b142280..024ef0543 100644 --- a/common/util/auto-pop-stack.h +++ b/verible/common/util/auto-pop-stack.h @@ -19,7 +19,7 @@ #include #include "absl/base/attributes.h" -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/util/auto-pop-stack_test.cc b/verible/common/util/auto-pop-stack_test.cc similarity index 95% rename from common/util/auto-pop-stack_test.cc rename to verible/common/util/auto-pop-stack_test.cc index 4d9efc141..93ef8d0da 100644 --- a/common/util/auto-pop-stack_test.cc +++ b/verible/common/util/auto-pop-stack_test.cc @@ -12,11 +12,11 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/auto-pop-stack.h" +#include "verible/common/util/auto-pop-stack.h" -#include "common/util/iterator-range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/iterator-range.h" namespace verible { namespace { diff --git a/common/util/bijective-map.h b/verible/common/util/bijective-map.h similarity index 99% rename from common/util/bijective-map.h rename to verible/common/util/bijective-map.h index 5456076b1..0ca94655a 100644 --- a/common/util/bijective-map.h +++ b/verible/common/util/bijective-map.h @@ -21,7 +21,7 @@ #include #include -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/util/bijective-map_test.cc b/verible/common/util/bijective-map_test.cc similarity index 97% rename from common/util/bijective-map_test.cc rename to verible/common/util/bijective-map_test.cc index 7736a2594..8f283a73e 100644 --- a/common/util/bijective-map_test.cc +++ b/verible/common/util/bijective-map_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/bijective-map.h" +#include "verible/common/util/bijective-map.h" #include #include @@ -21,9 +21,9 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "common/util/logging.h" #include "gtest/gtest.h" +#include "verible/common/strings/compare.h" +#include "verible/common/util/logging.h" namespace verible { namespace { diff --git a/common/util/casts.h b/verible/common/util/casts.h similarity index 100% rename from common/util/casts.h rename to verible/common/util/casts.h diff --git a/common/util/container-iterator-range.h b/verible/common/util/container-iterator-range.h similarity index 98% rename from common/util/container-iterator-range.h rename to verible/common/util/container-iterator-range.h index 74a61a2b0..6b3f8cfed 100644 --- a/common/util/container-iterator-range.h +++ b/verible/common/util/container-iterator-range.h @@ -22,8 +22,8 @@ #include // for std::iterator_traits #include // for std::pair -#include "common/util/iterator-range.h" -#include "common/util/range.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/range.h" namespace verible { diff --git a/common/util/container-iterator-range_test.cc b/verible/common/util/container-iterator-range_test.cc similarity index 99% rename from common/util/container-iterator-range_test.cc rename to verible/common/util/container-iterator-range_test.cc index 0041cd23c..8644f9994 100644 --- a/common/util/container-iterator-range_test.cc +++ b/verible/common/util/container-iterator-range_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/container-iterator-range.h" +#include "verible/common/util/container-iterator-range.h" #include #include diff --git a/common/util/container-proxy.h b/verible/common/util/container-proxy.h similarity index 100% rename from common/util/container-proxy.h rename to verible/common/util/container-proxy.h diff --git a/common/util/container-proxy_test.cc b/verible/common/util/container-proxy_test.cc similarity index 99% rename from common/util/container-proxy_test.cc rename to verible/common/util/container-proxy_test.cc index fa0ab34bf..b5766fde9 100644 --- a/common/util/container-proxy_test.cc +++ b/verible/common/util/container-proxy_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/container-proxy.h" +#include "verible/common/util/container-proxy.h" #include #include @@ -21,10 +21,10 @@ #include #include -#include "common/strings/display-utils.h" -#include "common/util/type-traits.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/util/type-traits.h" namespace verible { namespace { diff --git a/common/util/container-util.h b/verible/common/util/container-util.h similarity index 98% rename from common/util/container-util.h rename to verible/common/util/container-util.h index 3c0ef68e9..b27f12c44 100644 --- a/common/util/container-util.h +++ b/verible/common/util/container-util.h @@ -18,7 +18,7 @@ #include // for std::pair -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verible { namespace container { diff --git a/common/util/enum-flags.h b/verible/common/util/enum-flags.h similarity index 98% rename from common/util/enum-flags.h rename to verible/common/util/enum-flags.h index 08e08a667..0a8dd0c2b 100644 --- a/common/util/enum-flags.h +++ b/verible/common/util/enum-flags.h @@ -23,8 +23,8 @@ #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "common/util/bijective-map.h" +#include "verible/common/strings/compare.h" +#include "verible/common/util/bijective-map.h" namespace verible { diff --git a/common/util/enum-flags_test.cc b/verible/common/util/enum-flags_test.cc similarity index 98% rename from common/util/enum-flags_test.cc rename to verible/common/util/enum-flags_test.cc index eb09fc110..63c369f34 100644 --- a/common/util/enum-flags_test.cc +++ b/verible/common/util/enum-flags_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/enum-flags.h" +#include "verible/common/util/enum-flags.h" #include #include diff --git a/common/util/expandable-tree-view.h b/verible/common/util/expandable-tree-view.h similarity index 98% rename from common/util/expandable-tree-view.h rename to verible/common/util/expandable-tree-view.h index 87b1ffd20..52103d059 100644 --- a/common/util/expandable-tree-view.h +++ b/verible/common/util/expandable-tree-view.h @@ -19,9 +19,9 @@ #include #include -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/util/expandable-tree-view_test.cc b/verible/common/util/expandable-tree-view_test.cc similarity index 98% rename from common/util/expandable-tree-view_test.cc rename to verible/common/util/expandable-tree-view_test.cc index 0f10bf813..1d1c5db2b 100644 --- a/common/util/expandable-tree-view_test.cc +++ b/verible/common/util/expandable-tree-view_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/expandable-tree-view.h" +#include "verible/common/util/expandable-tree-view.h" #include #include #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/util/vector-tree-test-util.h" -#include "common/util/vector-tree.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/vector-tree-test-util.h" +#include "verible/common/util/vector-tree.h" namespace verible { namespace { diff --git a/common/util/file-util.cc b/verible/common/util/file-util.cc similarity index 98% rename from common/util/file-util.cc rename to verible/common/util/file-util.cc index af6bdedc6..ca98e384a 100644 --- a/common/util/file-util.cc +++ b/verible/common/util/file-util.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/file-util.h" +#include "verible/common/util/file-util.h" #include @@ -32,8 +32,8 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/strings/mem-block.h" -#include "common/util/logging.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/util/logging.h" #ifndef _WIN32 #include diff --git a/common/util/file-util.h b/verible/common/util/file-util.h similarity index 99% rename from common/util/file-util.h rename to verible/common/util/file-util.h index 944ed9eaa..17ac418b5 100644 --- a/common/util/file-util.h +++ b/verible/common/util/file-util.h @@ -24,7 +24,7 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/strings/mem-block.h" +#include "verible/common/strings/mem-block.h" // TODO(hzeller): All file interfaces are using a strings to represent // filenames so that the actual underlying implementation does not leak outside diff --git a/common/util/file-util_test.cc b/verible/common/util/file-util_test.cc similarity index 99% rename from common/util/file-util_test.cc rename to verible/common/util/file-util_test.cc index acafa35ad..cf6932063 100644 --- a/common/util/file-util_test.cc +++ b/verible/common/util/file-util_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/file-util.h" +#include "verible/common/util/file-util.h" #include #include diff --git a/common/util/forward.h b/verible/common/util/forward.h similarity index 100% rename from common/util/forward.h rename to verible/common/util/forward.h diff --git a/common/util/forward_test.cc b/verible/common/util/forward_test.cc similarity index 98% rename from common/util/forward_test.cc rename to verible/common/util/forward_test.cc index 26fb64eba..bb69369d4 100644 --- a/common/util/forward_test.cc +++ b/verible/common/util/forward_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/forward.h" +#include "verible/common/util/forward.h" #include #include diff --git a/common/util/init-command-line.cc b/verible/common/util/init-command-line.cc similarity index 96% rename from common/util/init-command-line.cc rename to verible/common/util/init-command-line.cc index 0759146fe..16f41d64a 100644 --- a/common/util/init-command-line.cc +++ b/verible/common/util/init-command-line.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/init-command-line.h" +#include "verible/common/util/init-command-line.h" #include #include @@ -30,7 +30,7 @@ #include "absl/strings/numbers.h" #include "absl/strings/string_view.h" #include "absl/time/time.h" -#include "common/util/generated-verible-build-version.h" +#include "verible/common/util/generated-verible-build-version.h" namespace verible { diff --git a/common/util/init-command-line.h b/verible/common/util/init-command-line.h similarity index 100% rename from common/util/init-command-line.h rename to verible/common/util/init-command-line.h diff --git a/common/util/interval-map.h b/verible/common/util/interval-map.h similarity index 98% rename from common/util/interval-map.h rename to verible/common/util/interval-map.h index 476019ffc..18e30b379 100644 --- a/common/util/interval-map.h +++ b/verible/common/util/interval-map.h @@ -18,8 +18,8 @@ #include #include -#include "common/util/auto-iterator.h" -#include "common/util/logging.h" +#include "verible/common/util/auto-iterator.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/util/interval-map_test.cc b/verible/common/util/interval-map_test.cc similarity index 99% rename from common/util/interval-map_test.cc rename to verible/common/util/interval-map_test.cc index 3a69805f1..d3a176892 100644 --- a/common/util/interval-map_test.cc +++ b/verible/common/util/interval-map_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/interval-map.h" +#include "verible/common/util/interval-map.h" #include #include @@ -22,8 +22,8 @@ #include #include "absl/strings/string_view.h" -#include "common/util/range.h" #include "gtest/gtest.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/util/interval-set.h b/verible/common/util/interval-set.h similarity index 99% rename from common/util/interval-set.h rename to verible/common/util/interval-set.h index 205cfd76e..4f819654e 100644 --- a/common/util/interval-set.h +++ b/verible/common/util/interval-set.h @@ -31,10 +31,10 @@ #include "absl/strings/str_join.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/util/auto-iterator.h" -#include "common/util/interval.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/util/auto-iterator.h" +#include "verible/common/util/interval.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/util/interval-set_test.cc b/verible/common/util/interval-set_test.cc similarity index 99% rename from common/util/interval-set_test.cc rename to verible/common/util/interval-set_test.cc index 1861f576e..c36e6f1d4 100644 --- a/common/util/interval-set_test.cc +++ b/verible/common/util/interval-set_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/interval-set.h" +#include "verible/common/util/interval-set.h" #include #include @@ -22,10 +22,10 @@ #include #include "absl/strings/string_view.h" -#include "common/util/interval.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/interval.h" +#include "verible/common/util/logging.h" namespace verible { namespace { diff --git a/common/util/interval.h b/verible/common/util/interval.h similarity index 99% rename from common/util/interval.h rename to verible/common/util/interval.h index 84839928c..5e12dc165 100644 --- a/common/util/interval.h +++ b/verible/common/util/interval.h @@ -20,7 +20,7 @@ #include "absl/strings/numbers.h" #include "absl/strings/string_view.h" -#include "common/util/forward.h" +#include "verible/common/util/forward.h" namespace verible { diff --git a/common/util/interval_test.cc b/verible/common/util/interval_test.cc similarity index 99% rename from common/util/interval_test.cc rename to verible/common/util/interval_test.cc index 04db4f860..7e2875c52 100644 --- a/common/util/interval_test.cc +++ b/verible/common/util/interval_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/interval.h" +#include "verible/common/util/interval.h" #include diff --git a/common/util/iterator-adaptors.h b/verible/common/util/iterator-adaptors.h similarity index 96% rename from common/util/iterator-adaptors.h rename to verible/common/util/iterator-adaptors.h index a69820698..370e1c5f6 100644 --- a/common/util/iterator-adaptors.h +++ b/verible/common/util/iterator-adaptors.h @@ -17,8 +17,8 @@ #include -#include "common/util/auto-iterator.h" -#include "common/util/iterator-range.h" +#include "verible/common/util/auto-iterator.h" +#include "verible/common/util/iterator-range.h" namespace verible { diff --git a/common/util/iterator-adaptors_test.cc b/verible/common/util/iterator-adaptors_test.cc similarity index 96% rename from common/util/iterator-adaptors_test.cc rename to verible/common/util/iterator-adaptors_test.cc index 7729408ea..dfbca8b12 100644 --- a/common/util/iterator-adaptors_test.cc +++ b/verible/common/util/iterator-adaptors_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/iterator-adaptors.h" +#include "verible/common/util/iterator-adaptors.h" #include #include @@ -20,9 +20,9 @@ #include #include -#include "common/util/iterator-range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/iterator-range.h" namespace verible { namespace { diff --git a/common/util/iterator-range.h b/verible/common/util/iterator-range.h similarity index 100% rename from common/util/iterator-range.h rename to verible/common/util/iterator-range.h diff --git a/common/util/iterator-range_test.cc b/verible/common/util/iterator-range_test.cc similarity index 98% rename from common/util/iterator-range_test.cc rename to verible/common/util/iterator-range_test.cc index 8e5231489..35eb1cebe 100644 --- a/common/util/iterator-range_test.cc +++ b/verible/common/util/iterator-range_test.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/iterator-range.h" +#include "verible/common/util/iterator-range.h" #include #include #include #include -#include "common/util/range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/range.h" namespace verible { namespace { diff --git a/common/util/logging.h b/verible/common/util/logging.h similarity index 100% rename from common/util/logging.h rename to verible/common/util/logging.h diff --git a/common/util/map-tree.h b/verible/common/util/map-tree.h similarity index 99% rename from common/util/map-tree.h rename to verible/common/util/map-tree.h index 2111b2017..bda6e75c3 100644 --- a/common/util/map-tree.h +++ b/verible/common/util/map-tree.h @@ -21,8 +21,8 @@ #include #include -#include "common/util/logging.h" -#include "common/util/spacer.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" namespace verible { diff --git a/common/util/map-tree_test.cc b/verible/common/util/map-tree_test.cc similarity index 99% rename from common/util/map-tree_test.cc rename to verible/common/util/map-tree_test.cc index 0ea512ae1..5f20db377 100644 --- a/common/util/map-tree_test.cc +++ b/verible/common/util/map-tree_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/map-tree.h" +#include "verible/common/util/map-tree.h" #include #include @@ -21,8 +21,8 @@ #include #include "absl/strings/string_view.h" -#include "common/util/spacer.h" #include "gtest/gtest.h" +#include "verible/common/util/spacer.h" namespace verible { namespace { diff --git a/common/util/range.h b/verible/common/util/range.h similarity index 98% rename from common/util/range.h rename to verible/common/util/range.h index 6b22310db..9a64e5d6a 100644 --- a/common/util/range.h +++ b/verible/common/util/range.h @@ -20,7 +20,7 @@ #include -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/util/range_test.cc b/verible/common/util/range_test.cc similarity index 99% rename from common/util/range_test.cc rename to verible/common/util/range_test.cc index 963f0aeb5..fe5feb8d6 100644 --- a/common/util/range_test.cc +++ b/verible/common/util/range_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/range.h" +#include "verible/common/util/range.h" #include #include @@ -20,8 +20,8 @@ #include #include "absl/strings/string_view.h" -#include "common/util/iterator-range.h" #include "gtest/gtest.h" +#include "verible/common/util/iterator-range.h" namespace verible { namespace { diff --git a/common/util/sha256.cc b/verible/common/util/sha256.cc similarity index 99% rename from common/util/sha256.cc rename to verible/common/util/sha256.cc index c98a2a47b..a7f065b59 100644 --- a/common/util/sha256.cc +++ b/verible/common/util/sha256.cc @@ -33,7 +33,7 @@ * The code is derived from: https://www.rfc-editor.org/rfc/rfc6234.txt */ -#include "common/util/sha256.h" +#include "verible/common/util/sha256.h" #include #include diff --git a/common/util/sha256.h b/verible/common/util/sha256.h similarity index 100% rename from common/util/sha256.h rename to verible/common/util/sha256.h diff --git a/common/util/sha256_test.cc b/verible/common/util/sha256_test.cc similarity index 99% rename from common/util/sha256_test.cc rename to verible/common/util/sha256_test.cc index a35c98983..0953c77a1 100644 --- a/common/util/sha256_test.cc +++ b/verible/common/util/sha256_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/sha256.h" +#include "verible/common/util/sha256.h" #include #include diff --git a/common/util/simple-zip.cc b/verible/common/util/simple-zip.cc similarity index 99% rename from common/util/simple-zip.cc rename to verible/common/util/simple-zip.cc index 81b94854f..fdf669505 100644 --- a/common/util/simple-zip.cc +++ b/verible/common/util/simple-zip.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/simple-zip.h" +#include "verible/common/util/simple-zip.h" #include #include diff --git a/common/util/simple-zip.h b/verible/common/util/simple-zip.h similarity index 100% rename from common/util/simple-zip.h rename to verible/common/util/simple-zip.h diff --git a/common/util/simple-zip_test.cc b/verible/common/util/simple-zip_test.cc similarity index 98% rename from common/util/simple-zip_test.cc rename to verible/common/util/simple-zip_test.cc index 83e5c79a5..afa9c3fa2 100644 --- a/common/util/simple-zip_test.cc +++ b/verible/common/util/simple-zip_test.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/simple-zip.h" +#include "verible/common/util/simple-zip.h" #include #include "absl/strings/string_view.h" -#include "common/util/file-util.h" #include "gtest/gtest.h" +#include "verible/common/util/file-util.h" // Note, these tests are currently not testing that the generated content // is actually unzippable (we don't have the reverse functionality), so we diff --git a/common/util/spacer.cc b/verible/common/util/spacer.cc similarity index 95% rename from common/util/spacer.cc rename to verible/common/util/spacer.cc index 156a1a022..90fb2131f 100644 --- a/common/util/spacer.cc +++ b/verible/common/util/spacer.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/spacer.h" +#include "verible/common/util/spacer.h" #include #include diff --git a/common/util/spacer.h b/verible/common/util/spacer.h similarity index 100% rename from common/util/spacer.h rename to verible/common/util/spacer.h diff --git a/common/util/spacer_test.cc b/verible/common/util/spacer_test.cc similarity index 96% rename from common/util/spacer_test.cc rename to verible/common/util/spacer_test.cc index 85e9434e0..f17a1ad02 100644 --- a/common/util/spacer_test.cc +++ b/verible/common/util/spacer_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/spacer.h" +#include "verible/common/util/spacer.h" #include #include diff --git a/common/util/status-macros.h b/verible/common/util/status-macros.h similarity index 100% rename from common/util/status-macros.h rename to verible/common/util/status-macros.h diff --git a/common/util/subcommand.cc b/verible/common/util/subcommand.cc similarity index 98% rename from common/util/subcommand.cc rename to verible/common/util/subcommand.cc index b65a92d89..40c370425 100644 --- a/common/util/subcommand.cc +++ b/verible/common/util/subcommand.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/subcommand.h" +#include "verible/common/util/subcommand.h" #include #include diff --git a/common/util/subcommand.h b/verible/common/util/subcommand.h similarity index 97% rename from common/util/subcommand.h rename to verible/common/util/subcommand.h index e823952c3..9c99a1d68 100644 --- a/common/util/subcommand.h +++ b/verible/common/util/subcommand.h @@ -24,8 +24,8 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "common/util/container-iterator-range.h" +#include "verible/common/strings/compare.h" +#include "verible/common/util/container-iterator-range.h" namespace verible { diff --git a/common/util/subcommand_test.cc b/verible/common/util/subcommand_test.cc similarity index 99% rename from common/util/subcommand_test.cc rename to verible/common/util/subcommand_test.cc index de83a54be..6ebfc0f7a 100644 --- a/common/util/subcommand_test.cc +++ b/verible/common/util/subcommand_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/subcommand.h" +#include "verible/common/util/subcommand.h" #include #include diff --git a/common/util/thread-pool.cc b/verible/common/util/thread-pool.cc similarity index 97% rename from common/util/thread-pool.cc rename to verible/common/util/thread-pool.cc index ac3b0ed3d..b48d37958 100644 --- a/common/util/thread-pool.cc +++ b/verible/common/util/thread-pool.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/thread-pool.h" +#include "verible/common/util/thread-pool.h" #include #include diff --git a/common/util/thread-pool.h b/verible/common/util/thread-pool.h similarity index 100% rename from common/util/thread-pool.h rename to verible/common/util/thread-pool.h diff --git a/common/util/thread-pool_test.cc b/verible/common/util/thread-pool_test.cc similarity index 98% rename from common/util/thread-pool_test.cc rename to verible/common/util/thread-pool_test.cc index 4c89767a9..374b71950 100644 --- a/common/util/thread-pool_test.cc +++ b/verible/common/util/thread-pool_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/thread-pool.h" +#include "verible/common/util/thread-pool.h" #include // IWYU pragma: keep for chrono_literals #include diff --git a/common/util/top-n.h b/verible/common/util/top-n.h similarity index 100% rename from common/util/top-n.h rename to verible/common/util/top-n.h diff --git a/common/util/top-n_test.cc b/verible/common/util/top-n_test.cc similarity index 98% rename from common/util/top-n_test.cc rename to verible/common/util/top-n_test.cc index 30bdba502..f6c53e85f 100644 --- a/common/util/top-n_test.cc +++ b/verible/common/util/top-n_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/top-n.h" +#include "verible/common/util/top-n.h" #include // for std::next_permutation #include diff --git a/common/util/tree-operations.cc b/verible/common/util/tree-operations.cc similarity index 95% rename from common/util/tree-operations.cc rename to verible/common/util/tree-operations.cc index 9a1e3222f..bd5c2878c 100644 --- a/common/util/tree-operations.cc +++ b/verible/common/util/tree-operations.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/tree-operations.h" +#include "verible/common/util/tree-operations.h" #include #include diff --git a/common/util/tree-operations.h b/verible/common/util/tree-operations.h similarity index 99% rename from common/util/tree-operations.h rename to verible/common/util/tree-operations.h index f299c6a2f..937237b13 100644 --- a/common/util/tree-operations.h +++ b/verible/common/util/tree-operations.h @@ -75,9 +75,9 @@ #include #include -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/type-traits.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/type-traits.h" namespace verible { diff --git a/common/util/tree-operations_test.cc b/verible/common/util/tree-operations_test.cc similarity index 99% rename from common/util/tree-operations_test.cc rename to verible/common/util/tree-operations_test.cc index 3edba8f00..912a1cefc 100644 --- a/common/util/tree-operations_test.cc +++ b/verible/common/util/tree-operations_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/tree-operations.h" +#include "verible/common/util/tree-operations.h" #include #include @@ -23,15 +23,15 @@ #include #include -#include "absl/strings/str_cat.h" +#include "absl/strings/str_cat.h" // IWYU pragma: keep (not in all pp-branches) #include "absl/strings/str_format.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/type-traits.h" #include "gmock/gmock.h" // IWYU pragma: keep #include "gtest/gtest.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/type-traits.h" /* The compiler takes a long time to compile this file, so we divide it @@ -42,7 +42,7 @@ Run a separate compile for each shard, and look at reported time by bazel: for f in 1 2 3 4 5 6 ; do - bazel build -c opt //common/util:tree-operations_${f}_test + bazel build -c opt //verible/common/util:tree-operations_${f}_test done ... then choose shard in #if CURRENT_SHARD() sections until diff --git a/common/util/type-traits.h b/verible/common/util/type-traits.h similarity index 100% rename from common/util/type-traits.h rename to verible/common/util/type-traits.h diff --git a/common/util/type-traits_test.cc b/verible/common/util/type-traits_test.cc similarity index 96% rename from common/util/type-traits_test.cc rename to verible/common/util/type-traits_test.cc index 402ebadc6..ec0358661 100644 --- a/common/util/type-traits_test.cc +++ b/verible/common/util/type-traits_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/type-traits.h" +#include "verible/common/util/type-traits.h" #include diff --git a/common/util/user-interaction.cc b/verible/common/util/user-interaction.cc similarity index 98% rename from common/util/user-interaction.cc rename to verible/common/util/user-interaction.cc index cbfbab481..401a79d56 100644 --- a/common/util/user-interaction.cc +++ b/verible/common/util/user-interaction.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/user-interaction.h" +#include "verible/common/util/user-interaction.h" #include #include diff --git a/common/util/user-interaction.h b/verible/common/util/user-interaction.h similarity index 100% rename from common/util/user-interaction.h rename to verible/common/util/user-interaction.h diff --git a/common/util/user-interaction_test.cc b/verible/common/util/user-interaction_test.cc similarity index 97% rename from common/util/user-interaction_test.cc rename to verible/common/util/user-interaction_test.cc index a80f8eecb..e5b5de4b2 100644 --- a/common/util/user-interaction_test.cc +++ b/verible/common/util/user-interaction_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/user-interaction.h" +#include "verible/common/util/user-interaction.h" #include diff --git a/common/util/value-saver.h b/verible/common/util/value-saver.h similarity index 100% rename from common/util/value-saver.h rename to verible/common/util/value-saver.h diff --git a/common/util/value-saver_test.cc b/verible/common/util/value-saver_test.cc similarity index 96% rename from common/util/value-saver_test.cc rename to verible/common/util/value-saver_test.cc index a19f65a58..66453075e 100644 --- a/common/util/value-saver_test.cc +++ b/verible/common/util/value-saver_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/value-saver.h" +#include "verible/common/util/value-saver.h" #include "gtest/gtest.h" diff --git a/common/util/vector-tree-iterators.h b/verible/common/util/vector-tree-iterators.h similarity index 97% rename from common/util/vector-tree-iterators.h rename to verible/common/util/vector-tree-iterators.h index 62b3d1de3..b069673a0 100644 --- a/common/util/vector-tree-iterators.h +++ b/verible/common/util/vector-tree-iterators.h @@ -20,9 +20,9 @@ #include #include -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" namespace verible { diff --git a/common/util/vector-tree-iterators_test.cc b/verible/common/util/vector-tree-iterators_test.cc similarity index 98% rename from common/util/vector-tree-iterators_test.cc rename to verible/common/util/vector-tree-iterators_test.cc index 2901fb8bb..719d13bb4 100644 --- a/common/util/vector-tree-iterators_test.cc +++ b/verible/common/util/vector-tree-iterators_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/vector-tree-iterators.h" +#include "verible/common/util/vector-tree-iterators.h" #include #include @@ -21,9 +21,9 @@ #include #include "absl/strings/str_join.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" #include "gtest/gtest.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" namespace verible { diff --git a/common/util/vector-tree-test-util.cc b/verible/common/util/vector-tree-test-util.cc similarity index 96% rename from common/util/vector-tree-test-util.cc rename to verible/common/util/vector-tree-test-util.cc index b28d1daa3..4fd407bfd 100644 --- a/common/util/vector-tree-test-util.cc +++ b/verible/common/util/vector-tree-test-util.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/vector-tree-test-util.h" +#include "verible/common/util/vector-tree-test-util.h" #include #include "absl/strings/string_view.h" -#include "common/util/vector-tree.h" #include "gtest/gtest.h" +#include "verible/common/util/vector-tree.h" namespace verible { namespace testing { diff --git a/common/util/vector-tree-test-util.h b/verible/common/util/vector-tree-test-util.h similarity index 95% rename from common/util/vector-tree-test-util.h rename to verible/common/util/vector-tree-test-util.h index 5e4733037..29ea473c8 100644 --- a/common/util/vector-tree-test-util.h +++ b/verible/common/util/vector-tree-test-util.h @@ -20,8 +20,8 @@ #include #include "absl/strings/string_view.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" namespace verible { namespace testing { diff --git a/common/util/vector-tree.h b/verible/common/util/vector-tree.h similarity index 98% rename from common/util/vector-tree.h rename to verible/common/util/vector-tree.h index 151004354..5a199a849 100644 --- a/common/util/vector-tree.h +++ b/verible/common/util/vector-tree.h @@ -20,9 +20,9 @@ #include #include -#include "common/util/container-proxy.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" +#include "verible/common/util/container-proxy.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" namespace verible { diff --git a/common/util/vector-tree_test.cc b/verible/common/util/vector-tree_test.cc similarity index 99% rename from common/util/vector-tree_test.cc rename to verible/common/util/vector-tree_test.cc index d57a72891..beed7cfdf 100644 --- a/common/util/vector-tree_test.cc +++ b/verible/common/util/vector-tree_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/vector-tree.h" +#include "verible/common/util/vector-tree.h" #include #include @@ -23,11 +23,11 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree-test-util.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree-test-util.h" namespace verible { namespace { diff --git a/common/util/with-reason.h b/verible/common/util/with-reason.h similarity index 100% rename from common/util/with-reason.h rename to verible/common/util/with-reason.h diff --git a/common/util/with-reason_test.cc b/verible/common/util/with-reason_test.cc similarity index 97% rename from common/util/with-reason_test.cc rename to verible/common/util/with-reason_test.cc index c1683c5b3..09634a172 100644 --- a/common/util/with-reason_test.cc +++ b/verible/common/util/with-reason_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/util/with-reason.h" +#include "verible/common/util/with-reason.h" #include "gtest/gtest.h" diff --git a/verible/verilog/CST/BUILD b/verible/verilog/CST/BUILD new file mode 100644 index 000000000..74df6af37 --- /dev/null +++ b/verible/verilog/CST/BUILD @@ -0,0 +1,960 @@ +# This package contains utility functions that extract or operate on +# the concrete syntax tree (cst) structure built up by verilog.y. +# Any code that relies on the internal tree structure should be isolated in +# here. + +package( + default_applicable_licenses = ["//:license"], + default_visibility = [ + "//verible/verilog/analysis:__subpackages__", + "//verible/verilog/formatting:__subpackages__", + "//verible/verilog/parser:__subpackages__", + "//verible/verilog/tools/kythe:__pkg__", + "//verible/verilog/tools/ls:__pkg__", # DocumentSymbol + "//verible/verilog/tools/syntax:__pkg__", # for printing + ], + features = ["layering_check"], +) + +# Generate foreach list for nonterminal enums. +# Libraries that need these generated include files should list them +# under srcs, even if they are included by headers. +genrule( + name = "verilog-nonterminals-foreach-gen", + srcs = ["verilog-nonterminals.h"], + outs = ["verilog_nonterminals_foreach-gen.inc"], + cmd = "sed -n -e '/BEGIN GENERATE/,/END GENERATE/p' $< | " + + "grep -v GENERATE | " + + "sed -e 's|^ *|CONSIDER(|' -e 's| =.*,|,|' -e 's|,|)|' > $@", +) + +cc_library( + name = "verilog-nonterminals", + srcs = [ + "verilog-nonterminals.cc", + "verilog_nonterminals_foreach-gen.inc", + ], + hdrs = [ + "verilog-nonterminals.h", + "verilog_nonterminals_foreach.inc", + ], + deps = [ + "//verible/common/text:constants", + "@com_google_absl//absl/strings", + ], +) + +cc_test( + name = "verilog-nonterminals_test", + srcs = ["verilog-nonterminals_test.cc"], + deps = [ + ":verilog-nonterminals", + "//verible/common/text:constants", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "verilog-matchers", + srcs = [ + "verilog-matchers.cc", + "verilog_nonterminals_foreach.inc", + "verilog_nonterminals_foreach-gen.inc", + ], + hdrs = [ + "verilog-matchers.h", + ], + deps = [ + ":verilog-nonterminals", + "//verible/common/analysis/matcher:matcher-builders", + "//verible/common/text:symbol", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "verilog-matchers_test", + srcs = ["verilog-matchers_test.cc"], + deps = [ + ":verilog-matchers", + ":verilog-treebuilder-utils", + "//verible/common/analysis/matcher:core-matchers", + "//verible/common/analysis/matcher:matcher-builders", + "//verible/common/analysis/matcher:matcher-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "verilog-treebuilder-utils", + srcs = ["verilog-treebuilder-utils.cc"], + hdrs = ["verilog-treebuilder-utils.h"], + deps = [ + ":verilog-nonterminals", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "verilog-treebuilder-utils_test", + srcs = ["verilog-treebuilder-utils_test.cc"], + deps = [ + ":verilog-treebuilder-utils", + "//verible/common/text:tree-builder-test-util", + "//verible/common/text:tree-utils", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "match-test-utils", + testonly = 1, + srcs = ["match-test-utils.cc"], + hdrs = ["match-test-utils.h"], + deps = [ + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", # for library testonly + ], +) + +cc_library( + name = "constraints", + srcs = ["constraints.cc"], + hdrs = ["constraints.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + ], +) + +cc_test( + name = "constraints_test", + srcs = ["constraints_test.cc"], + deps = [ + ":constraints", + ":match-test-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "DPI", + srcs = ["DPI.cc"], + hdrs = ["DPI.h"], + deps = [ + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:symbol-ptr", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "DPI_test", + srcs = ["DPI_test.cc"], + deps = [ + ":DPI", + ":match-test-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "declaration", + srcs = ["declaration.cc"], + hdrs = ["declaration.h"], + deps = [ + ":identifier", + ":type", + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:constants", + "//verible/common/text:symbol", + "//verible/common/text:symbol-ptr", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:container-util", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "declaration_test", + srcs = ["declaration_test.cc"], + deps = [ + ":declaration", + ":match-test-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "dimensions", + srcs = ["dimensions.cc"], + hdrs = ["dimensions.h"], + deps = [ + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + ], +) + +cc_test( + name = "dimensions_test", + srcs = ["dimensions_test.cc"], + deps = [ + ":dimensions", + ":verilog-matchers", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "expression", + srcs = ["expression.cc"], + hdrs = ["expression.h"], + deps = [ + ":type", + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "expression_test", + srcs = ["expression_test.cc"], + deps = [ + ":expression", + ":match-test-utils", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/preprocessor:verilog-preprocess", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "functions", + srcs = ["functions.cc"], + hdrs = ["functions.h"], + deps = [ + ":identifier", + ":type", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + ":verilog-treebuilder-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + ], +) + +cc_test( + name = "functions_test", + srcs = ["functions_test.cc"], + deps = [ + ":functions", + ":identifier", + ":match-test-utils", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:text-structure", + "//verible/common/text:token-info-test-util", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "identifier", + srcs = ["identifier.cc"], + hdrs = ["identifier.h"], + deps = [ + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "identifier_test", + srcs = ["identifier_test.cc"], + deps = [ + ":identifier", + ":match-test-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "macro", + srcs = ["macro.cc"], + hdrs = ["macro.h"], + deps = [ + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/text:visitors", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "macro_test", + srcs = ["macro_test.cc"], + deps = [ + ":macro", + ":match-test-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/text:token-info-test-util", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "class", + srcs = ["class.cc"], + hdrs = ["class.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + "//verible/common/text:visitors", + ], +) + +cc_test( + name = "class_test", + srcs = ["class_test.cc"], + deps = [ + ":class", + ":match-test-utils", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "module", + srcs = ["module.cc"], + hdrs = ["module.h"], + deps = [ + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + ], +) + +cc_test( + name = "module_test", + srcs = ["module_test.cc"], + deps = [ + ":match-test-utils", + ":module", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "net", + srcs = ["net.cc"], + hdrs = ["net.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:inner-match-handlers", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + ], +) + +cc_test( + name = "net_test", + srcs = ["net_test.cc"], + deps = [ + ":declaration", + ":match-test-utils", + ":net", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "data", + srcs = ["data.cc"], + hdrs = ["data.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:inner-match-handlers", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + ], +) + +cc_test( + name = "data_test", + srcs = ["data_test.cc"], + deps = [ + ":data", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "package", + srcs = ["package.cc"], + hdrs = ["package.h"], + deps = [ + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "package_test", + srcs = ["package_test.cc"], + deps = [ + ":match-test-utils", + ":package", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/status", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "parameters", + srcs = ["parameters.cc"], + hdrs = ["parameters.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "parameters_test", + srcs = ["parameters_test.cc"], + deps = [ + ":match-test-utils", + ":parameters", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "port", + srcs = ["port.cc"], + hdrs = ["port.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + ], +) + +cc_test( + name = "port_test", + srcs = ["port_test.cc"], + deps = [ + ":match-test-utils", + ":port", + ":type", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "seq-block", + srcs = ["seq-block.cc"], + hdrs = ["seq-block.h"], + deps = [ + ":verilog-nonterminals", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "seq-block_test", + srcs = ["seq-block_test.cc"], + deps = [ + ":seq-block", + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "statement", + srcs = ["statement.cc"], + hdrs = ["statement.h"], + deps = [ + ":declaration", + ":identifier", + ":type", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + "//verible/common/text:visitors", + ], +) + +cc_test( + name = "statement_test", + srcs = ["statement_test.cc"], + deps = [ + ":match-test-utils", + ":statement", + ":verilog-matchers", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/analysis/matcher:matcher-builders", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "tasks", + srcs = ["tasks.cc"], + hdrs = ["tasks.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:tree-utils", + ], +) + +cc_test( + name = "tasks_test", + srcs = ["tasks_test.cc"], + deps = [ + ":identifier", + ":match-test-utils", + ":tasks", + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "type", + srcs = ["type.cc"], + hdrs = ["type.h"], + deps = [ + ":identifier", + ":verilog-matchers", # fixdeps: keep + ":verilog-nonterminals", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:symbol-ptr", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-enum", + ], +) + +cc_test( + name = "type_test", + srcs = ["type_test.cc"], + deps = [ + ":context-functions", + ":declaration", + ":expression", + ":match-test-utils", + ":type", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/text:text-structure", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "context-functions", + hdrs = ["context-functions.h"], + deps = [ + ":verilog-nonterminals", + "//verible/common/text:syntax-tree-context", + ], +) + +cc_library( + name = "numbers", + srcs = ["numbers.cc"], + hdrs = ["numbers.h"], + deps = [ + "//verible/common/util:logging", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_library( + name = "verilog-tree-print", + srcs = ["verilog-tree-print.cc"], + hdrs = ["verilog-tree-print.h"], + deps = [ + ":verilog-nonterminals", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:value-saver", + "//verible/verilog/parser:verilog-parser", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_library( + name = "verilog-tree-json", + srcs = ["verilog-tree-json.cc"], + hdrs = ["verilog-tree-json.h"], + deps = [ + ":verilog-nonterminals", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:token-info-json", + "//verible/common/text:visitors", + "//verible/common/util:value-saver", + "//verible/verilog/parser:verilog-token", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@jsonhpp//:singleheader-json", + ], +) + +cc_test( + name = "context-functions_test", + srcs = ["context-functions_test.cc"], + deps = [ + ":context-functions", + ":verilog-nonterminals", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-builder-test-util", + "//verible/common/util:casts", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_test( + name = "numbers_test", + srcs = ["numbers_test.cc"], + deps = [ + ":numbers", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_test( + name = "verilog-tree-print_test", + srcs = ["verilog-tree-print_test.cc"], + deps = [ + ":verilog-tree-print", + "//verible/common/text:symbol", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_test( + name = "verilog-tree-json_test", + srcs = ["verilog-tree-json_test.cc"], + deps = [ + ":verilog-tree-json", + "//verible/common/text:symbol", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + "@jsonhpp//:singleheader-json", + ], +) diff --git a/verilog/CST/DPI.cc b/verible/verilog/CST/DPI.cc similarity index 77% rename from verilog/CST/DPI.cc rename to verible/verilog/CST/DPI.cc index f74e84a38..61bad5bf2 100644 --- a/verilog/CST/DPI.cc +++ b/verible/verilog/CST/DPI.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/DPI.h" +#include "verible/verilog/CST/DPI.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/DPI.h b/verible/verilog/CST/DPI.h similarity index 84% rename from verilog/CST/DPI.h rename to verible/verilog/CST/DPI.h index 7dc2a4a17..2d9ac9cab 100644 --- a/verilog/CST/DPI.h +++ b/verible/verilog/CST/DPI.h @@ -23,15 +23,15 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" // IWYU pragma: export -#include "common/text/symbol-ptr.h" // IWYU pragma: export -#include "common/text/symbol.h" // IWYU pragma: export -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" // IWYU pragma: export +#include "verible/common/text/symbol-ptr.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" // IWYU pragma: export +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/DPI_test.cc b/verible/verilog/CST/DPI_test.cc similarity index 92% rename from verilog/CST/DPI_test.cc rename to verible/verilog/CST/DPI_test.cc index 4020aff77..ed059c13c 100644 --- a/verilog/CST/DPI_test.cc +++ b/verible/verilog/CST/DPI_test.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/DPI.h" +#include "verible/verilog/CST/DPI.h" #include -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/README.md b/verible/verilog/CST/README.md similarity index 100% rename from verilog/CST/README.md rename to verible/verilog/CST/README.md diff --git a/verilog/CST/class.cc b/verible/verilog/CST/class.cc similarity index 90% rename from verilog/CST/class.cc rename to verible/verilog/CST/class.cc index ebc646d6e..2adae24cd 100644 --- a/verilog/CST/class.cc +++ b/verible/verilog/CST/class.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/class.h" +#include "verible/verilog/CST/class.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/class.h b/verible/verilog/CST/class.h similarity index 95% rename from verilog/CST/class.h rename to verible/verilog/CST/class.h index bc9461967..be44ca202 100644 --- a/verilog/CST/class.h +++ b/verible/verilog/CST/class.h @@ -20,9 +20,9 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/visitors.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/visitors.h" namespace verilog { diff --git a/verilog/CST/class_test.cc b/verible/verilog/CST/class_test.cc similarity index 96% rename from verilog/CST/class_test.cc rename to verible/verilog/CST/class_test.cc index d9bcf4a96..b0a5eb532 100644 --- a/verilog/CST/class_test.cc +++ b/verible/verilog/CST/class_test.cc @@ -20,19 +20,19 @@ // created by the parser, so test *should* use the parser-generated // syntax trees, as opposed to hand-crafted/mocked syntax trees. -#include "verilog/CST/class.h" +#include "verible/verilog/CST/class.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/constraints.cc b/verible/verilog/CST/constraints.cc similarity index 73% rename from verilog/CST/constraints.cc rename to verible/verilog/CST/constraints.cc index 341c5a5f1..115fff40d 100644 --- a/verilog/CST/constraints.cc +++ b/verible/verilog/CST/constraints.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/constraints.h" +#include "verible/verilog/CST/constraints.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/constraints.h b/verible/verilog/CST/constraints.h similarity index 80% rename from verilog/CST/constraints.h rename to verible/verilog/CST/constraints.h index 620da067f..d3e3f6639 100644 --- a/verilog/CST/constraints.h +++ b/verible/verilog/CST/constraints.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep namespace verilog { diff --git a/verilog/CST/constraints_test.cc b/verible/verilog/CST/constraints_test.cc similarity index 91% rename from verilog/CST/constraints_test.cc rename to verible/verilog/CST/constraints_test.cc index b160ffb0f..dba682329 100644 --- a/verilog/CST/constraints_test.cc +++ b/verible/verilog/CST/constraints_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/constraints.h" +#include "verible/verilog/CST/constraints.h" #include #include @@ -20,13 +20,13 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/context-functions.h b/verible/verilog/CST/context-functions.h similarity index 96% rename from verilog/CST/context-functions.h rename to verible/verilog/CST/context-functions.h index 80d37d080..27351da9c 100644 --- a/verilog/CST/context-functions.h +++ b/verible/verilog/CST/context-functions.h @@ -15,8 +15,8 @@ #ifndef VERIBLE_VERILOG_CST_CONTEXT_FUNCTIONS_H_ #define VERIBLE_VERILOG_CST_CONTEXT_FUNCTIONS_H_ -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { namespace analysis { diff --git a/verilog/CST/context-functions_test.cc b/verible/verilog/CST/context-functions_test.cc similarity index 96% rename from verilog/CST/context-functions_test.cc rename to verible/verilog/CST/context-functions_test.cc index 023568580..2f7baa3b1 100644 --- a/verilog/CST/context-functions_test.cc +++ b/verible/verilog/CST/context-functions_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/context-functions.h" +#include "verible/verilog/CST/context-functions.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-builder-test-util.h" -#include "common/util/casts.h" #include "gtest/gtest.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/util/casts.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { namespace analysis { diff --git a/verilog/CST/data.cc b/verible/verilog/CST/data.cc similarity index 78% rename from verilog/CST/data.cc rename to verible/verilog/CST/data.cc index 735e80db3..1b842dcc0 100644 --- a/verilog/CST/data.cc +++ b/verible/verilog/CST/data.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/data.h" +#include "verible/verilog/CST/data.h" #include -#include "common/analysis/matcher/inner-match-handlers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/matcher/inner-match-handlers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/data.h b/verible/verilog/CST/data.h similarity index 88% rename from verilog/CST/data.h rename to verible/verilog/CST/data.h index bc3285f49..6587d994c 100644 --- a/verilog/CST/data.h +++ b/verible/verilog/CST/data.h @@ -20,9 +20,9 @@ #include -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" namespace verilog { diff --git a/verilog/CST/data_test.cc b/verible/verilog/CST/data_test.cc similarity index 97% rename from verilog/CST/data_test.cc rename to verible/verilog/CST/data_test.cc index b733cc9c9..d1b45d38d 100644 --- a/verilog/CST/data_test.cc +++ b/verible/verilog/CST/data_test.cc @@ -19,14 +19,14 @@ // about net declaration nodes and the structure that is actually // created by the parser, so test *should* use the parser-generated // syntax trees, as opposed to hand-crafted/mocked syntax trees. -#include "verilog/CST/data.h" +#include "verible/verilog/CST/data.h" #include -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/declaration.cc b/verible/verilog/CST/declaration.cc similarity index 92% rename from verilog/CST/declaration.cc rename to verible/verilog/CST/declaration.cc index 2aec11775..bf08ea60c 100644 --- a/verilog/CST/declaration.cc +++ b/verible/verilog/CST/declaration.cc @@ -12,26 +12,26 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/declaration.h" +#include "verible/verilog/CST/declaration.h" #include #include #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/constants.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/container-util.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/container-util.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { using verible::Symbol; diff --git a/verilog/CST/declaration.h b/verible/verilog/CST/declaration.h similarity index 95% rename from verilog/CST/declaration.h rename to verible/verilog/CST/declaration.h index 66d992969..cd325cf3a 100644 --- a/verilog/CST/declaration.h +++ b/verible/verilog/CST/declaration.h @@ -22,14 +22,14 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol-ptr.h" // IWYU pragma: export -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol-ptr.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/declaration_test.cc b/verible/verilog/CST/declaration_test.cc similarity index 98% rename from verilog/CST/declaration_test.cc rename to verible/verilog/CST/declaration_test.cc index 747ed710f..5f1cca880 100644 --- a/verilog/CST/declaration_test.cc +++ b/verible/verilog/CST/declaration_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/declaration.h" +#include "verible/verilog/CST/declaration.h" #include -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/dimensions.cc b/verible/verilog/CST/dimensions.cc similarity index 79% rename from verilog/CST/dimensions.cc rename to verible/verilog/CST/dimensions.cc index 0476ac2c8..181e78f9c 100644 --- a/verilog/CST/dimensions.cc +++ b/verible/verilog/CST/dimensions.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/dimensions.h" +#include "verible/verilog/CST/dimensions.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/dimensions.h b/verible/verilog/CST/dimensions.h similarity index 91% rename from verilog/CST/dimensions.h rename to verible/verilog/CST/dimensions.h index 8e7fd72a2..50f236382 100644 --- a/verilog/CST/dimensions.h +++ b/verible/verilog/CST/dimensions.h @@ -20,9 +20,9 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" namespace verilog { diff --git a/verilog/CST/dimensions_test.cc b/verible/verilog/CST/dimensions_test.cc similarity index 94% rename from verilog/CST/dimensions_test.cc rename to verible/verilog/CST/dimensions_test.cc index f0948048f..97146ee4c 100644 --- a/verilog/CST/dimensions_test.cc +++ b/verible/verilog/CST/dimensions_test.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/dimensions.h" +#include "verible/verilog/CST/dimensions.h" #include #include #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/expression.cc b/verible/verilog/CST/expression.cc similarity index 93% rename from verilog/CST/expression.cc rename to verible/verilog/CST/expression.cc index 7314072f8..9afb15170 100644 --- a/verilog/CST/expression.cc +++ b/verible/verilog/CST/expression.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/expression.h" +#include "verible/verilog/CST/expression.h" #include #include #include "absl/strings/numbers.h" #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/expression.h b/verible/verilog/CST/expression.h similarity index 91% rename from verilog/CST/expression.h rename to verible/verilog/CST/expression.h index ca4d7e8e7..439085cb2 100644 --- a/verilog/CST/expression.h +++ b/verible/verilog/CST/expression.h @@ -22,15 +22,15 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/expression_test.cc b/verible/verilog/CST/expression_test.cc similarity index 96% rename from verilog/CST/expression_test.cc rename to verible/verilog/CST/expression_test.cc index 70ade6598..18b9903bc 100644 --- a/verilog/CST/expression_test.cc +++ b/verible/verilog/CST/expression_test.cc @@ -12,27 +12,27 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/expression.h" +#include "verible/verilog/CST/expression.h" #include #include #include -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-excerpt-parse.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-excerpt-parse.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { namespace { diff --git a/verilog/CST/functions.cc b/verible/verilog/CST/functions.cc similarity index 94% rename from verilog/CST/functions.cc rename to verible/verilog/CST/functions.cc index 43e2f191e..357e790b4 100644 --- a/verilog/CST/functions.cc +++ b/verible/verilog/CST/functions.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/functions.h" +#include "verible/verilog/CST/functions.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" // pragma IWYU: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" // pragma IWYU: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/functions.h b/verible/verilog/CST/functions.h similarity index 95% rename from verilog/CST/functions.h rename to verible/verilog/CST/functions.h index 388f827e2..e2735e408 100644 --- a/verilog/CST/functions.h +++ b/verible/verilog/CST/functions.h @@ -22,13 +22,13 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/CST/verilog-treebuilder-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/CST/verilog-treebuilder-utils.h" namespace verilog { diff --git a/verilog/CST/functions_test.cc b/verible/verilog/CST/functions_test.cc similarity index 97% rename from verilog/CST/functions_test.cc rename to verible/verilog/CST/functions_test.cc index 2f7872bec..7df0fc1d4 100644 --- a/verilog/CST/functions_test.cc +++ b/verible/verilog/CST/functions_test.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/functions.h" +#include "verible/verilog/CST/functions.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/text-structure.h" -#include "common/text/token-info-test-util.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/identifier.cc b/verible/verilog/CST/identifier.cc similarity index 83% rename from verilog/CST/identifier.cc rename to verible/verilog/CST/identifier.cc index dc9ea65a1..e5adc8287 100644 --- a/verilog/CST/identifier.cc +++ b/verible/verilog/CST/identifier.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/identifier.h" +#include "verible/verilog/CST/identifier.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/identifier.h b/verible/verilog/CST/identifier.h similarity index 93% rename from verilog/CST/identifier.h rename to verible/verilog/CST/identifier.h index 752b6289f..02132c86c 100644 --- a/verilog/CST/identifier.h +++ b/verible/verilog/CST/identifier.h @@ -17,9 +17,9 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" namespace verilog { diff --git a/verilog/CST/identifier_test.cc b/verible/verilog/CST/identifier_test.cc similarity index 95% rename from verilog/CST/identifier_test.cc rename to verible/verilog/CST/identifier_test.cc index 0982ce7fc..a52b3c7bc 100644 --- a/verilog/CST/identifier_test.cc +++ b/verible/verilog/CST/identifier_test.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/identifier.h" +#include "verible/verilog/CST/identifier.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/macro.cc b/verible/verilog/CST/macro.cc similarity index 89% rename from verilog/CST/macro.cc rename to verible/verilog/CST/macro.cc index 13e208f24..2596e12bb 100644 --- a/verilog/CST/macro.cc +++ b/verible/verilog/CST/macro.cc @@ -15,18 +15,18 @@ // This unit provides helper functions that pertain to SystemVerilog // module declaration nodes in the parser-generated concrete syntax tree. -#include "verilog/CST/macro.h" +#include "verible/verilog/CST/macro.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/macro.h b/verible/verilog/CST/macro.h similarity index 91% rename from verilog/CST/macro.h rename to verible/verilog/CST/macro.h index e2dcd3ee6..baa5363a8 100644 --- a/verilog/CST/macro.h +++ b/verible/verilog/CST/macro.h @@ -20,11 +20,11 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" // IWYU pragma: export -#include "common/text/symbol.h" // IWYU pragma: export -#include "common/text/token-info.h" -#include "common/text/visitors.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" // IWYU pragma: export +#include "verible/common/text/token-info.h" +#include "verible/common/text/visitors.h" namespace verilog { diff --git a/verilog/CST/macro_test.cc b/verible/verilog/CST/macro_test.cc similarity index 96% rename from verilog/CST/macro_test.cc rename to verible/verilog/CST/macro_test.cc index 414fa08fb..6abcf6a35 100644 --- a/verilog/CST/macro_test.cc +++ b/verible/verilog/CST/macro_test.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/macro.h" +#include "verible/verilog/CST/macro.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/text/token-info-test-util.h" -#include "common/util/logging.h" -#include "common/util/range.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/CST/match-test-utils.cc b/verible/verilog/CST/match-test-utils.cc similarity index 87% rename from verilog/CST/match-test-utils.cc rename to verible/verilog/CST/match-test-utils.cc index 1f3589a55..ae91ace05 100644 --- a/verilog/CST/match-test-utils.cc +++ b/verible/verilog/CST/match-test-utils.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/match-test-utils.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/match-test-utils.h b/verible/verilog/CST/match-test-utils.h similarity index 88% rename from verilog/CST/match-test-utils.h rename to verible/verilog/CST/match-test-utils.h index 8faa9a8fc..fbb21be7d 100644 --- a/verilog/CST/match-test-utils.h +++ b/verible/verilog/CST/match-test-utils.h @@ -19,9 +19,9 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" namespace verilog { diff --git a/verilog/CST/module.cc b/verible/verilog/CST/module.cc similarity index 91% rename from verilog/CST/module.cc rename to verible/verilog/CST/module.cc index 2f1fb9fb6..4f16d3762 100644 --- a/verilog/CST/module.cc +++ b/verible/verilog/CST/module.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/module.h" +#include "verible/verilog/CST/module.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/module.h b/verible/verilog/CST/module.h similarity index 93% rename from verilog/CST/module.h rename to verible/verilog/CST/module.h index ae5983990..ddad77ff3 100644 --- a/verilog/CST/module.h +++ b/verible/verilog/CST/module.h @@ -20,13 +20,13 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/module_test.cc b/verible/verilog/CST/module_test.cc similarity index 97% rename from verilog/CST/module_test.cc rename to verible/verilog/CST/module_test.cc index 7ec1befa7..17e8fa9e9 100644 --- a/verilog/CST/module_test.cc +++ b/verible/verilog/CST/module_test.cc @@ -20,18 +20,18 @@ // created by the parser, so test *should* use the parser-generated // syntax trees, as opposed to hand-crafted/mocked syntax trees. -#include "verilog/CST/module.h" +#include "verible/verilog/CST/module.h" #include #include -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/CST/net.cc b/verible/verilog/CST/net.cc similarity index 81% rename from verilog/CST/net.cc rename to verible/verilog/CST/net.cc index c0835e8cc..5b11c2d10 100644 --- a/verilog/CST/net.cc +++ b/verible/verilog/CST/net.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/net.h" +#include "verible/verilog/CST/net.h" #include -#include "common/analysis/matcher/inner-match-handlers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/matcher/inner-match-handlers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/net.h b/verible/verilog/CST/net.h similarity index 87% rename from verilog/CST/net.h rename to verible/verilog/CST/net.h index 2ae8ee882..0a8cc6e04 100644 --- a/verilog/CST/net.h +++ b/verible/verilog/CST/net.h @@ -20,11 +20,11 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" namespace verilog { diff --git a/verilog/CST/net_test.cc b/verible/verilog/CST/net_test.cc similarity index 96% rename from verilog/CST/net_test.cc rename to verible/verilog/CST/net_test.cc index 6ecca9537..aea37fdb4 100644 --- a/verilog/CST/net_test.cc +++ b/verible/verilog/CST/net_test.cc @@ -19,21 +19,21 @@ // about net declaration nodes and the structure that is actually // created by the parser, so test *should* use the parser-generated // syntax trees, as opposed to hand-crafted/mocked syntax trees. -#include "verilog/CST/net.h" +#include "verible/verilog/CST/net.h" #include #include "absl/strings/str_cat.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/CST/numbers.cc b/verible/verilog/CST/numbers.cc similarity index 96% rename from verilog/CST/numbers.cc rename to verible/verilog/CST/numbers.cc index 925537a07..3d9c055e0 100644 --- a/verilog/CST/numbers.cc +++ b/verible/verilog/CST/numbers.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/numbers.h" +#include "verible/verilog/CST/numbers.h" #include #include @@ -23,7 +23,7 @@ #include "absl/strings/match.h" #include "absl/strings/string_view.h" #include "absl/strings/strip.h" -#include "common/util/logging.h" +#include "verible/common/util/logging.h" namespace verilog { namespace analysis { diff --git a/verilog/CST/numbers.h b/verible/verilog/CST/numbers.h similarity index 100% rename from verilog/CST/numbers.h rename to verible/verilog/CST/numbers.h diff --git a/verilog/CST/numbers_test.cc b/verible/verilog/CST/numbers_test.cc similarity index 98% rename from verilog/CST/numbers_test.cc rename to verible/verilog/CST/numbers_test.cc index db3fe87fb..92c75b039 100644 --- a/verilog/CST/numbers_test.cc +++ b/verible/verilog/CST/numbers_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/numbers.h" +#include "verible/verilog/CST/numbers.h" #include #include diff --git a/verilog/CST/package.cc b/verible/verilog/CST/package.cc similarity index 85% rename from verilog/CST/package.cc rename to verible/verilog/CST/package.cc index c707c6fed..00712aeb2 100644 --- a/verilog/CST/package.cc +++ b/verible/verilog/CST/package.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/package.h" +#include "verible/verilog/CST/package.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/package.h b/verible/verilog/CST/package.h similarity index 88% rename from verilog/CST/package.h rename to verible/verilog/CST/package.h index 88c274815..dd27d2ff4 100644 --- a/verilog/CST/package.h +++ b/verible/verilog/CST/package.h @@ -20,11 +20,11 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" // IWYU pragma: export -#include "common/text/concrete-syntax-tree.h" // IWYU pragma: export -#include "common/text/symbol.h" // IWYU pragma: export -#include "common/text/token-info.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" // IWYU pragma: export +#include "verible/common/text/concrete-syntax-tree.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" // IWYU pragma: export +#include "verible/common/text/token-info.h" namespace verilog { diff --git a/verilog/CST/package_test.cc b/verible/verilog/CST/package_test.cc similarity index 96% rename from verilog/CST/package_test.cc rename to verible/verilog/CST/package_test.cc index a79ec6766..28a10fc87 100644 --- a/verilog/CST/package_test.cc +++ b/verible/verilog/CST/package_test.cc @@ -20,22 +20,22 @@ // created by the parser, so test *should* use the parser-generated // syntax trees, as opposed to hand-crafted/mocked syntax trees. -#include "verilog/CST/package.h" +#include "verible/verilog/CST/package.h" #include #include #include "absl/status/status.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/casts.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/CST/parameters.cc b/verible/verilog/CST/parameters.cc similarity index 93% rename from verilog/CST/parameters.cc rename to verible/verilog/CST/parameters.cc index 6e8678c27..207815b57 100644 --- a/verilog/CST/parameters.cc +++ b/verible/verilog/CST/parameters.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/parameters.h" +#include "verible/verilog/CST/parameters.h" #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/parameters.h b/verible/verilog/CST/parameters.h similarity index 92% rename from verilog/CST/parameters.h rename to verible/verilog/CST/parameters.h index 3c6faa164..9276f301f 100644 --- a/verilog/CST/parameters.h +++ b/verible/verilog/CST/parameters.h @@ -22,15 +22,15 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/parameters_test.cc b/verible/verilog/CST/parameters_test.cc similarity index 97% rename from verilog/CST/parameters_test.cc rename to verible/verilog/CST/parameters_test.cc index 54fda3b85..4eb2bc62a 100644 --- a/verilog/CST/parameters_test.cc +++ b/verible/verilog/CST/parameters_test.cc @@ -12,26 +12,26 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/parameters.h" +#include "verible/verilog/CST/parameters.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/casts.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/port.cc b/verible/verilog/CST/port.cc similarity index 92% rename from verilog/CST/port.cc rename to verible/verilog/CST/port.cc index 13a1939b3..5782df835 100644 --- a/verilog/CST/port.cc +++ b/verible/verilog/CST/port.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/port.h" +#include "verible/verilog/CST/port.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // pragma IWYU: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // pragma IWYU: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/port.h b/verible/verilog/CST/port.h similarity index 94% rename from verilog/CST/port.h rename to verible/verilog/CST/port.h index 4a68b24c8..8344f7665 100644 --- a/verilog/CST/port.h +++ b/verible/verilog/CST/port.h @@ -21,11 +21,11 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/port_test.cc b/verible/verilog/CST/port_test.cc similarity index 97% rename from verilog/CST/port_test.cc rename to verible/verilog/CST/port_test.cc index fb8e192f5..3e291de6c 100644 --- a/verilog/CST/port_test.cc +++ b/verible/verilog/CST/port_test.cc @@ -20,7 +20,7 @@ // created by the parser, so test *should* use the parser-generated // syntax trees, as opposed to hand-crafted/mocked syntax trees. -#include "verilog/CST/port.h" +#include "verible/verilog/CST/port.h" #include #include @@ -29,18 +29,18 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/seq-block.cc b/verible/verilog/CST/seq-block.cc similarity index 87% rename from verilog/CST/seq-block.cc rename to verible/verilog/CST/seq-block.cc index 7896f1f02..4c02eb9a6 100644 --- a/verilog/CST/seq-block.cc +++ b/verible/verilog/CST/seq-block.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/seq-block.h" +#include "verible/verilog/CST/seq-block.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/seq-block.h b/verible/verilog/CST/seq-block.h similarity index 88% rename from verilog/CST/seq-block.h rename to verible/verilog/CST/seq-block.h index edfeafee6..f1410835c 100644 --- a/verilog/CST/seq-block.h +++ b/verible/verilog/CST/seq-block.h @@ -18,10 +18,10 @@ #ifndef VERIBLE_VERILOG_CST_SEQ_BLOCK_H_ #define VERIBLE_VERILOG_CST_SEQ_BLOCK_H_ -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" namespace verilog { diff --git a/verilog/CST/seq-block_test.cc b/verible/verilog/CST/seq-block_test.cc similarity index 93% rename from verilog/CST/seq-block_test.cc rename to verible/verilog/CST/seq-block_test.cc index 400dfc193..d748d7d84 100644 --- a/verilog/CST/seq-block_test.cc +++ b/verible/verilog/CST/seq-block_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/seq-block.h" +#include "verible/verilog/CST/seq-block.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/statement.cc b/verible/verilog/CST/statement.cc similarity index 97% rename from verilog/CST/statement.cc rename to verible/verilog/CST/statement.cc index e4c1c5b46..393c33d18 100644 --- a/verilog/CST/statement.cc +++ b/verible/verilog/CST/statement.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/statement.h" +#include "verible/verilog/CST/statement.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/statement.h b/verible/verilog/CST/statement.h similarity index 97% rename from verilog/CST/statement.h rename to verible/verilog/CST/statement.h index 859d633de..2b8ba39a8 100644 --- a/verilog/CST/statement.h +++ b/verible/verilog/CST/statement.h @@ -17,10 +17,10 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/visitors.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/visitors.h" namespace verilog { diff --git a/verilog/CST/statement_test.cc b/verible/verilog/CST/statement_test.cc similarity index 98% rename from verilog/CST/statement_test.cc rename to verible/verilog/CST/statement_test.cc index a8c9e9fd5..e0c7f2d3a 100644 --- a/verilog/CST/statement_test.cc +++ b/verible/verilog/CST/statement_test.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/statement.h" +#include "verible/verilog/CST/statement.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/matcher/matcher-builders.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/CST/tasks.cc b/verible/verilog/CST/tasks.cc similarity index 87% rename from verilog/CST/tasks.cc rename to verible/verilog/CST/tasks.cc index 6187756bc..0909c1e4b 100644 --- a/verilog/CST/tasks.cc +++ b/verible/verilog/CST/tasks.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/tasks.h" +#include "verible/verilog/CST/tasks.h" #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // pragma IWYU: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // pragma IWYU: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/tasks.h b/verible/verilog/CST/tasks.h similarity index 89% rename from verilog/CST/tasks.h rename to verible/verilog/CST/tasks.h index 4df2ff297..b327e02bf 100644 --- a/verilog/CST/tasks.h +++ b/verible/verilog/CST/tasks.h @@ -17,10 +17,10 @@ #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" // IWYU pragma: export -#include "common/text/concrete-syntax-tree.h" // IWYU pragma: export -#include "common/text/symbol.h" // IWYU pragma: export +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" // IWYU pragma: export +#include "verible/common/text/concrete-syntax-tree.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" // IWYU pragma: export namespace verilog { diff --git a/verilog/CST/tasks_test.cc b/verible/verilog/CST/tasks_test.cc similarity index 95% rename from verilog/CST/tasks_test.cc rename to verible/verilog/CST/tasks_test.cc index 2dea582ac..fd95d4ebb 100644 --- a/verilog/CST/tasks_test.cc +++ b/verible/verilog/CST/tasks_test.cc @@ -12,27 +12,27 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/tasks.h" +#include "verible/verilog/CST/tasks.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/type.cc b/verible/verilog/CST/type.cc similarity index 96% rename from verilog/CST/type.cc rename to verible/verilog/CST/type.cc index ee5f5b320..aa10e794e 100644 --- a/verilog/CST/type.cc +++ b/verible/verilog/CST/type.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/type.h" +#include "verible/verilog/CST/type.h" #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // pragma IWYU: keep -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // pragma IWYU: keep +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/type.h b/verible/verilog/CST/type.h similarity index 95% rename from verilog/CST/type.h rename to verible/verilog/CST/type.h index e8253eafd..cc2f231fc 100644 --- a/verilog/CST/type.h +++ b/verible/verilog/CST/type.h @@ -21,15 +21,15 @@ #include #include -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" // IWYU pragma: export -#include "common/text/concrete-syntax-tree.h" // IWYU pragma: export -#include "common/text/symbol-ptr.h" // IWYU pragma: export -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" // IWYU pragma: export +#include "verible/common/text/concrete-syntax-tree.h" // IWYU pragma: export +#include "verible/common/text/symbol-ptr.h" // IWYU pragma: export +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/CST/type_test.cc b/verible/verilog/CST/type_test.cc similarity index 97% rename from verilog/CST/type_test.cc rename to verible/verilog/CST/type_test.cc index b89bddb16..41836427e 100644 --- a/verilog/CST/type_test.cc +++ b/verible/verilog/CST/type_test.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/type.h" +#include "verible/verilog/CST/type.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/match-test-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/match-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #undef ASSERT_OK #define ASSERT_OK(value) ASSERT_TRUE((value).ok()) diff --git a/verilog/CST/verilog-matchers.cc b/verible/verilog/CST/verilog-matchers.cc similarity index 100% rename from verilog/CST/verilog-matchers.cc rename to verible/verilog/CST/verilog-matchers.cc diff --git a/verilog/CST/verilog-matchers.h b/verible/verilog/CST/verilog-matchers.h similarity index 97% rename from verilog/CST/verilog-matchers.h rename to verible/verilog/CST/verilog-matchers.h index f54ec63c2..bc41a6038 100644 --- a/verilog/CST/verilog-matchers.h +++ b/verible/verilog/CST/verilog-matchers.h @@ -15,10 +15,10 @@ #ifndef VERIBLE_VERILOG_CST_VERILOG_MATCHERS_H_ #define VERIBLE_VERILOG_CST_VERILOG_MATCHERS_H_ -#include "common/analysis/matcher/matcher-builders.h" -#include "common/text/symbol.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/text/symbol.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { @@ -75,7 +75,7 @@ inline constexpr LeafMatcher SymbolIdentifierLeaf; // Declare every syntax tree node matcher. // NodekFoo is a matcher that matches against syntax tree nodes tagged kFoo. #define CONSIDER(tag) inline constexpr NodeMatcher Node##tag; -#include "verilog/CST/verilog_nonterminals_foreach.inc" // IWYU pragma: keep +#include "verible/verilog/CST/verilog_nonterminals_foreach.inc" // IWYU pragma: keep #undef CONSIDER // Declare every syntax tree single-node path matcher. @@ -83,7 +83,7 @@ inline constexpr LeafMatcher SymbolIdentifierLeaf; #define CONSIDER(tag) \ inline constexpr verible::matcher::PathMatchBuilder<1> Path##tag = \ verible::matcher::MakePathMatcher(N(tag)); -#include "verilog/CST/verilog_nonterminals_foreach.inc" // IWYU pragma: keep +#include "verible/verilog/CST/verilog_nonterminals_foreach.inc" // IWYU pragma: keep #undef CONSIDER // These matchers match on a specific type of AST Node diff --git a/verilog/CST/verilog-matchers_test.cc b/verible/verilog/CST/verilog-matchers_test.cc similarity index 98% rename from verilog/CST/verilog-matchers_test.cc rename to verible/verilog/CST/verilog-matchers_test.cc index 117be3f1d..c38edfff0 100644 --- a/verilog/CST/verilog-matchers_test.cc +++ b/verible/verilog/CST/verilog-matchers_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-matchers.h" -#include "common/analysis/matcher/core-matchers.h" -#include "common/analysis/matcher/matcher-builders.h" -#include "common/analysis/matcher/matcher-test-utils.h" #include "gtest/gtest.h" -#include "verilog/CST/verilog-treebuilder-utils.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/matcher/core-matchers.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/analysis/matcher/matcher-test-utils.h" +#include "verible/verilog/CST/verilog-treebuilder-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace { diff --git a/verilog/CST/verilog-nonterminals.cc b/verible/verilog/CST/verilog-nonterminals.cc similarity index 91% rename from verilog/CST/verilog-nonterminals.cc rename to verible/verilog/CST/verilog-nonterminals.cc index 10f1790c5..a11b7482c 100644 --- a/verilog/CST/verilog-nonterminals.cc +++ b/verible/verilog/CST/verilog-nonterminals.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/CST/verilog-nonterminals.h" #include #include @@ -26,7 +26,7 @@ std::string NodeEnumToString(NodeEnum node_enum) { #define CONSIDER(val) \ case NodeEnum::val: \ return #val; -#include "verilog/CST/verilog_nonterminals_foreach.inc" // IWYU pragma: keep +#include "verible/verilog/CST/verilog_nonterminals_foreach.inc" // IWYU pragma: keep #undef CONSIDER default: diff --git a/verilog/CST/verilog-nonterminals.h b/verible/verilog/CST/verilog-nonterminals.h similarity index 99% rename from verilog/CST/verilog-nonterminals.h rename to verible/verilog/CST/verilog-nonterminals.h index 1acb10737..6ffc09dd3 100644 --- a/verilog/CST/verilog-nonterminals.h +++ b/verible/verilog/CST/verilog-nonterminals.h @@ -23,7 +23,7 @@ #include #include -#include "common/text/constants.h" +#include "verible/common/text/constants.h" namespace verilog { diff --git a/verilog/CST/verilog-nonterminals_test.cc b/verible/verilog/CST/verilog-nonterminals_test.cc similarity index 94% rename from verilog/CST/verilog-nonterminals_test.cc rename to verible/verilog/CST/verilog-nonterminals_test.cc index cda623026..0aef936be 100644 --- a/verilog/CST/verilog-nonterminals_test.cc +++ b/verible/verilog/CST/verilog-nonterminals_test.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/CST/verilog-nonterminals.h" #include #include -#include "common/text/constants.h" #include "gtest/gtest.h" +#include "verible/common/text/constants.h" namespace verilog { namespace { diff --git a/verilog/CST/verilog-tree-json.cc b/verible/verilog/CST/verilog-tree-json.cc similarity index 83% rename from verilog/CST/verilog-tree-json.cc rename to verible/verilog/CST/verilog-tree-json.cc index e2afbce27..ad3b05951 100644 --- a/verilog/CST/verilog-tree-json.cc +++ b/verible/verilog/CST/verilog-tree-json.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-tree-json.h" +#include "verible/verilog/CST/verilog-tree-json.h" #include #include #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info-json.h" -#include "common/text/token-info.h" -#include "common/text/visitors.h" -#include "common/util/value-saver.h" #include "nlohmann/json.hpp" -#include "verilog/CST/verilog-nonterminals.h" // for NodeEnumToString -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/parser/verilog-token.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info-json.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/value-saver.h" +#include "verible/verilog/CST/verilog-nonterminals.h" // for NodeEnumToString +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-token.h" using nlohmann::json; diff --git a/verilog/CST/verilog-tree-json.h b/verible/verilog/CST/verilog-tree-json.h similarity index 96% rename from verilog/CST/verilog-tree-json.h rename to verible/verilog/CST/verilog-tree-json.h index d77f4ba80..704f5f209 100644 --- a/verilog/CST/verilog-tree-json.h +++ b/verible/verilog/CST/verilog-tree-json.h @@ -16,8 +16,8 @@ #define VERIBLE_VERILOG_CST_VERILOG_TREE_JSON_H_ #include "absl/strings/string_view.h" -#include "common/text/symbol.h" #include "nlohmann/json.hpp" +#include "verible/common/text/symbol.h" namespace verilog { diff --git a/verilog/CST/verilog-tree-json_test.cc b/verible/verilog/CST/verilog-tree-json_test.cc similarity index 91% rename from verilog/CST/verilog-tree-json_test.cc rename to verible/verilog/CST/verilog-tree-json_test.cc index d5efbeb84..5f60e58a2 100644 --- a/verilog/CST/verilog-tree-json_test.cc +++ b/verible/verilog/CST/verilog-tree-json_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-tree-json.h" +#include "verible/verilog/CST/verilog-tree-json.h" #include -#include "common/text/symbol.h" -#include "common/util/logging.h" #include "gtest/gtest.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/text/symbol.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace { diff --git a/verilog/CST/verilog-tree-print.cc b/verible/verilog/CST/verilog-tree-print.cc similarity index 81% rename from verilog/CST/verilog-tree-print.cc rename to verible/verilog/CST/verilog-tree-print.cc index 533dd4591..d3f15befc 100644 --- a/verilog/CST/verilog-tree-print.cc +++ b/verible/verilog/CST/verilog-tree-print.cc @@ -14,7 +14,7 @@ // Implementation of VerilogPrettyPrinter -#include "verilog/CST/verilog-tree-print.h" +#include "verible/verilog/CST/verilog-tree-print.h" #include #include @@ -22,14 +22,14 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/value-saver.h" -#include "verilog/CST/verilog-nonterminals.h" // for NodeEnumToString -#include "verilog/parser/verilog-parser.h" // for verilog_symbol_name +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/value-saver.h" +#include "verible/verilog/CST/verilog-nonterminals.h" // for NodeEnumToString +#include "verible/verilog/parser/verilog-parser.h" // for verilog_symbol_name namespace verilog { diff --git a/verilog/CST/verilog-tree-print.h b/verible/verilog/CST/verilog-tree-print.h similarity index 88% rename from verilog/CST/verilog-tree-print.h rename to verible/verilog/CST/verilog-tree-print.h index 808ec5d45..01b54f07c 100644 --- a/verilog/CST/verilog-tree-print.h +++ b/verible/verilog/CST/verilog-tree-print.h @@ -20,10 +20,10 @@ #include #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/tree-utils.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/tree-utils.h" namespace verilog { diff --git a/verilog/CST/verilog-tree-print_test.cc b/verible/verilog/CST/verilog-tree-print_test.cc similarity index 92% rename from verilog/CST/verilog-tree-print_test.cc rename to verible/verilog/CST/verilog-tree-print_test.cc index b821157f5..13a4579bd 100644 --- a/verilog/CST/verilog-tree-print_test.cc +++ b/verible/verilog/CST/verilog-tree-print_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-tree-print.h" +#include "verible/verilog/CST/verilog-tree-print.h" #include #include // IWYU pragma: keep // for ostringstream #include -#include "common/text/symbol.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/text/symbol.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace { diff --git a/verilog/CST/verilog-treebuilder-utils.cc b/verible/verilog/CST/verilog-treebuilder-utils.cc similarity index 84% rename from verilog/CST/verilog-treebuilder-utils.cc rename to verible/verilog/CST/verilog-treebuilder-utils.cc index 16c255199..ead680e58 100644 --- a/verilog/CST/verilog-treebuilder-utils.cc +++ b/verible/verilog/CST/verilog-treebuilder-utils.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-treebuilder-utils.h" +#include "verible/verilog/CST/verilog-treebuilder-utils.h" #include #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" namespace verilog { diff --git a/verilog/CST/verilog-treebuilder-utils.h b/verible/verilog/CST/verilog-treebuilder-utils.h similarity index 97% rename from verilog/CST/verilog-treebuilder-utils.h rename to verible/verilog/CST/verilog-treebuilder-utils.h index 44d4f0227..a105f9d5a 100644 --- a/verilog/CST/verilog-treebuilder-utils.h +++ b/verible/verilog/CST/verilog-treebuilder-utils.h @@ -38,8 +38,8 @@ #include #include "absl/strings/string_view.h" -#include "common/text/concrete-syntax-tree.h" -#include "verilog/CST/verilog-nonterminals.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/verilog/CST/verilog-nonterminals.h" namespace verilog { diff --git a/verilog/CST/verilog-treebuilder-utils_test.cc b/verible/verilog/CST/verilog-treebuilder-utils_test.cc similarity index 91% rename from verilog/CST/verilog-treebuilder-utils_test.cc rename to verible/verilog/CST/verilog-treebuilder-utils_test.cc index ef67e54b0..3286e2d2f 100644 --- a/verilog/CST/verilog-treebuilder-utils_test.cc +++ b/verible/verilog/CST/verilog-treebuilder-utils_test.cc @@ -12,11 +12,11 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/CST/verilog-treebuilder-utils.h" +#include "verible/verilog/CST/verilog-treebuilder-utils.h" -#include "common/text/tree-builder-test-util.h" -#include "common/text/tree-utils.h" #include "gtest/gtest.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/common/text/tree-utils.h" namespace verilog { namespace { diff --git a/verilog/CST/verilog_nonterminals_foreach.inc b/verible/verilog/CST/verilog_nonterminals_foreach.inc similarity index 93% rename from verilog/CST/verilog_nonterminals_foreach.inc rename to verible/verilog/CST/verilog_nonterminals_foreach.inc index 17b44c227..b95ee6e48 100644 --- a/verilog/CST/verilog_nonterminals_foreach.inc +++ b/verible/verilog/CST/verilog_nonterminals_foreach.inc @@ -24,4 +24,4 @@ #endif // CONSIDER // CONSIDER(...) cases are auto-generated from verilog_nonterminals.h' enum. -#include "verilog/CST/verilog_nonterminals_foreach-gen.inc" +#include "verible/verilog/CST/verilog_nonterminals_foreach-gen.inc" diff --git a/verilog/README.md b/verible/verilog/README.md similarity index 100% rename from verilog/README.md rename to verible/verilog/README.md diff --git a/verilog/analysis/BUILD b/verible/verilog/analysis/BUILD similarity index 52% rename from verilog/analysis/BUILD rename to verible/verilog/analysis/BUILD index ab43ddce1..5cb505953 100644 --- a/verilog/analysis/BUILD +++ b/verible/verilog/analysis/BUILD @@ -2,7 +2,7 @@ # structural representations of Verilog/SystemVerilog source code. default_visibility = [ - "//verilog:__subpackages__", + "//verible/verilog:__subpackages__", ] package( @@ -15,7 +15,7 @@ cc_library( name = "default-rules", hdrs = ["default-rules.h"], deps = [ - "//verilog/analysis/checkers:verilog-lint-rules", + "//verible/verilog/analysis/checkers:verilog-lint-rules", ], ) @@ -25,7 +25,7 @@ cc_test( deps = [ ":default-rules", ":lint-rule-registry", - "//verilog/analysis/checkers:verilog-lint-rules", + "//verible/verilog/analysis/checkers:verilog-lint-rules", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", ], @@ -44,11 +44,11 @@ cc_library( hdrs = ["extractors.h"], deps = [ ":verilog-analyzer", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:identifier", - "//verilog/CST:module", - "//verilog/preprocessor:verilog-preprocess", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:module", + "//verible/verilog/preprocessor:verilog-preprocess", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", ], @@ -59,7 +59,7 @@ cc_test( srcs = ["extractors_test.cc"], deps = [ ":extractors", - "//verilog/preprocessor:verilog-preprocess", + "//verible/verilog/preprocessor:verilog-preprocess", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -72,8 +72,8 @@ cc_library( hdrs = ["json-diagnostics.h"], deps = [ ":verilog-analyzer", - "//common/analysis:file-analyzer", - "//common/strings:line-column-map", + "//verible/common/analysis:file-analyzer", + "//verible/common/strings:line-column-map", "@com_google_absl//absl/strings:string_view", "@jsonhpp//:singleheader-json", ], @@ -84,9 +84,9 @@ cc_library( srcs = ["flow-tree.cc"], hdrs = ["flow-tree.h"], deps = [ - "//common/text:token-stream-view", - "//common/util:logging", - "//verilog/parser:verilog-token-enum", + "//verible/common/text:token-stream-view", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", ], @@ -97,8 +97,8 @@ cc_test( srcs = ["flow-tree_test.cc"], deps = [ ":flow-tree", - "//common/text:token-stream-view", - "//verilog/parser:verilog-lexer", + "//verible/common/text:token-stream-view", + "//verible/verilog/parser:verilog-lexer", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -112,13 +112,13 @@ cc_library( hdrs = ["lint-rule-registry.h"], deps = [ ":descriptions", - "//common/analysis:line-lint-rule", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:text-structure-lint-rule", - "//common/analysis:token-stream-lint-rule", - "//common/strings:compare", - "//common/util:container-util", - "//common/util:logging", + "//verible/common/analysis:line-lint-rule", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/strings:compare", + "//verible/common/util:container-util", + "//verible/common/util:logging", "@com_google_absl//absl/container:node_hash_map", ], ) @@ -129,7 +129,7 @@ cc_test( deps = [ ":json-diagnostics", ":verilog-analyzer", - "//common/util:logging", + "//verible/common/util:logging", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", "@jsonhpp//:singleheader-json", @@ -142,16 +142,16 @@ cc_test( deps = [ ":descriptions", ":lint-rule-registry", - "//common/analysis:line-lint-rule", - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:text-structure-lint-rule", - "//common/analysis:token-stream-lint-rule", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/text:token-info", + "//verible/common/analysis:line-lint-rule", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -172,26 +172,26 @@ cc_library( "verilog-excerpt-parse.h", ], deps = [ - "//common/analysis:file-analyzer", - "//common/lexer:token-stream-adapter", - "//common/strings:comment-utils", - "//common/strings:mem-block", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/text:visitors", - "//common/util:container-util", - "//common/util:logging", - "//common/util:status-macros", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-lexical-context", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "//verilog/preprocessor:verilog-preprocess", + "//verible/common/analysis:file-analyzer", + "//verible/common/lexer:token-stream-adapter", + "//verible/common/strings:comment-utils", + "//verible/common/strings:mem-block", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/text:visitors", + "//verible/common/util:container-util", + "//verible/common/util:logging", + "//verible/common/util:status-macros", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-lexical-context", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "//verible/verilog/preprocessor:verilog-preprocess", "@com_google_absl//absl/log", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", @@ -204,15 +204,15 @@ cc_library( srcs = ["verilog-equivalence.cc"], hdrs = ["verilog-equivalence.h"], deps = [ - "//common/lexer:token-stream-adapter", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:enum-flags", - "//common/util:logging", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", + "//verible/common/lexer:token-stream-adapter", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:enum-flags", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/strings:string_view", ], ) @@ -225,15 +225,15 @@ cc_library( ":default-rules", ":descriptions", ":lint-rule-registry", - "//common/analysis:line-lint-rule", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:text-structure-lint-rule", - "//common/analysis:token-stream-lint-rule", - "//common/util:container-util", - "//common/util:enum-flags", - "//common/util:file-util", - "//common/util:logging", - "//common/util:status-macros", + "//verible/common/analysis:line-lint-rule", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/util:container-util", + "//verible/common/util:enum-flags", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/common/util:status-macros", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", "@com_google_absl//absl/strings", @@ -257,23 +257,23 @@ cc_library( ":verilog-analyzer", ":verilog-linter-configuration", ":verilog-linter-constants", - "//common/analysis:citation", - "//common/analysis:line-linter", - "//common/analysis:lint-rule-status", - "//common/analysis:lint-waiver", - "//common/analysis:syntax-tree-linter", - "//common/analysis:text-structure-linter", - "//common/analysis:token-stream-linter", - "//common/analysis:violation-handler", - "//common/strings:line-column-map", - "//common/text:concrete-syntax-tree", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:file-util", - "//common/util:logging", - "//common/util:status-macros", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", + "//verible/common/analysis:citation", + "//verible/common/analysis:line-linter", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:lint-waiver", + "//verible/common/analysis:syntax-tree-linter", + "//verible/common/analysis:text-structure-linter", + "//verible/common/analysis:token-stream-linter", + "//verible/common/analysis:violation-handler", + "//verible/common/strings:line-column-map", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/common/util:status-macros", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/log", "@com_google_absl//absl/status", @@ -288,18 +288,18 @@ cc_test( srcs = ["verilog-analyzer_test.cc"], deps = [ ":verilog-analyzer", - "//common/analysis:file-analyzer", - "//common/strings:display-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-info-test-util", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/parser:verilog-token-enum", + "//verible/common/analysis:file-analyzer", + "//verible/common/strings:display-utils", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -317,18 +317,18 @@ cc_test( ":lint-rule-registry", ":verilog-linter", ":verilog-linter-configuration", - "//common/analysis:line-lint-rule", - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:text-structure-lint-rule", - "//common/analysis:token-stream-lint-rule", - "//common/strings:line-column-map", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-builder-test-util", + "//verible/common/analysis:line-lint-rule", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/strings:line-column-map", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-builder-test-util", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -344,10 +344,10 @@ cc_test( ":verilog-analyzer", ":verilog-linter", ":verilog-linter-configuration", - "//common/analysis:lint-rule-status", - "//common/analysis:violation-handler", - "//common/util:file-util", - "//common/util:logging", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:violation-handler", + "//verible/common/util:file-util", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", "@com_google_absl//absl/strings", @@ -375,8 +375,8 @@ cc_library( srcs = ["verilog-filelist.cc"], hdrs = ["verilog-filelist.h"], deps = [ - "//common/util:file-util", - "//common/util:iterator-range", + "//verible/common/util:file-util", + "//verible/common/util:iterator-range", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -388,7 +388,7 @@ cc_test( srcs = ["verilog-filelist_test.cc"], deps = [ ":verilog-filelist", - "//common/util:file-util", + "//verible/common/util:file-util", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -401,11 +401,11 @@ cc_library( hdrs = ["verilog-project.h"], deps = [ ":verilog-analyzer", - "//common/strings:mem-block", - "//common/strings:string-memory-map", - "//common/text:text-structure", - "//common/util:file-util", - "//common/util:logging", + "//verible/common/strings:mem-block", + "//verible/common/strings:string-memory-map", + "//verible/common/text:text-structure", + "//verible/common/util:file-util", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", "@com_google_absl//absl/strings", @@ -421,11 +421,11 @@ cc_test( deps = [ ":verilog-analyzer", ":verilog-project", - "//common/text:text-structure", - "//common/util:file-util", - "//common/util:logging", - "//common/util:range", - "//verilog/CST:module", + "//verible/common/text:text-structure", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/verilog/CST:module", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -440,40 +440,40 @@ cc_library( hdrs = ["symbol-table.h"], deps = [ ":verilog-project", - "//common/strings:compare", - "//common/strings:display-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-compare", - "//common/text:tree-context-visitor", - "//common/text:tree-utils", - "//common/text:visitors", - "//common/util:casts", - "//common/util:enum-flags", - "//common/util:logging", - "//common/util:map-tree", - "//common/util:spacer", - "//common/util:tree-operations", - "//common/util:value-saver", - "//common/util:vector-tree", - "//verilog/CST:class", - "//verilog/CST:declaration", - "//verilog/CST:functions", - "//verilog/CST:macro", - "//verilog/CST:module", - "//verilog/CST:net", - "//verilog/CST:package", - "//verilog/CST:parameters", - "//verilog/CST:port", - "//verilog/CST:seq-block", - "//verilog/CST:statement", - "//verilog/CST:tasks", - "//verilog/CST:type", - "//verilog/CST:verilog-nonterminals", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-enum", + "//verible/common/strings:compare", + "//verible/common/strings:display-utils", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-compare", + "//verible/common/text:tree-context-visitor", + "//verible/common/text:tree-utils", + "//verible/common/text:visitors", + "//verible/common/util:casts", + "//verible/common/util:enum-flags", + "//verible/common/util:logging", + "//verible/common/util:map-tree", + "//verible/common/util:spacer", + "//verible/common/util:tree-operations", + "//verible/common/util:value-saver", + "//verible/common/util:vector-tree", + "//verible/verilog/CST:class", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:functions", + "//verible/verilog/CST:macro", + "//verible/verilog/CST:module", + "//verible/verilog/CST:net", + "//verible/verilog/CST:package", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:port", + "//verible/verilog/CST:seq-block", + "//verible/verilog/CST:statement", + "//verible/verilog/CST:tasks", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", "@com_google_absl//absl/strings", @@ -489,11 +489,11 @@ cc_test( ":symbol-table", ":verilog-filelist", ":verilog-project", - "//common/text:tree-utils", - "//common/util:file-util", - "//common/util:logging", - "//common/util:range", - "//common/util:tree-operations", + "//verible/common/text:tree-utils", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:tree-operations", "@com_google_absl//absl/base:core_headers", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", @@ -509,9 +509,9 @@ cc_library( deps = [ ":symbol-table", ":verilog-project", - "//common/strings:compare", - "//common/strings:display-utils", - "//common/util:logging", + "//verible/common/strings:compare", + "//verible/common/strings:display-utils", + "//verible/common/util:logging", "@com_google_absl//absl/strings:string_view", ], ) @@ -523,8 +523,8 @@ cc_test( ":dependencies", ":symbol-table", ":verilog-project", - "//common/util:file-util", - "//common/util:logging", + "//verible/common/util:file-util", + "//verible/common/util:logging", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", diff --git a/verilog/analysis/README.md b/verible/verilog/analysis/README.md similarity index 100% rename from verilog/analysis/README.md rename to verible/verilog/analysis/README.md diff --git a/verible/verilog/analysis/checkers/BUILD b/verible/verilog/analysis/checkers/BUILD new file mode 100644 index 000000000..231f2708a --- /dev/null +++ b/verible/verilog/analysis/checkers/BUILD @@ -0,0 +1,2327 @@ +# A set of linter rules to be used in analysis of verilog code. + +default_visibility = [ + "//verible/verilog/analysis:__subpackages__", + "//verible/verilog/tools:__subpackages__", +] + +package( + default_applicable_licenses = ["//:license"], + default_visibility = default_visibility, + features = ["layering_check"], +) + +cc_library( + name = "verilog-lint-rules", + deps = [ + ":always-comb-blocking-rule", + ":always-comb-rule", + ":always-ff-non-blocking-rule", + ":banned-declared-name-patterns-rule", + ":case-missing-default-rule", + ":constraint-name-style-rule", + ":create-object-name-match-rule", + ":dff-name-style-rule", + ":disable-statement-rule", + ":endif-comment-rule", + ":enum-name-style-rule", + ":explicit-begin-rule", + ":explicit-function-lifetime-rule", + ":explicit-function-task-parameter-type-rule", + ":explicit-parameter-storage-type-rule", + ":explicit-task-lifetime-rule", + ":forbid-consecutive-null-statements-rule", + ":forbid-defparam-rule", + ":forbid-line-continuations-rule", + ":forbid-negative-array-dim", + ":forbidden-anonymous-enums-rule", + ":forbidden-anonymous-structs-unions-rule", + ":forbidden-macro-rule", + ":forbidden-symbol-rule", + ":generate-label-prefix-rule", + ":generate-label-rule", + ":instance-shadow-rule", + ":interface-name-style-rule", + ":legacy-generate-region-rule", + ":legacy-genvar-declaration-rule", + ":line-length-rule", + ":macro-name-style-rule", + ":macro-string-concatenation-rule", + ":mismatched-labels-rule", + ":module-begin-block-rule", + ":module-filename-rule", + ":module-instantiation-rules", + ":no-tabs-rule", + ":no-trailing-spaces-rule", + ":numeric-format-string-style-rule", + ":one-module-per-file-rule", + ":package-filename-rule", + ":packed-dimensions-rule", + ":parameter-name-style-rule", + ":parameter-type-name-style-rule", + ":plusarg-assignment-rule", + ":port-name-suffix-rule", + ":positive-meaning-parameter-name-rule", + ":posix-eof-rule", + ":proper-parameter-declaration-rule", + ":signal-name-style-rule", + ":struct-union-name-style-rule", + ":suggest-parentheses-rule", + ":suspicious-semicolon-rule", + ":truncated-numeric-literal-rule", + ":undersized-binary-literal-rule", + ":unpacked-dimensions-rule", + ":uvm-macro-semicolon-rule", + ":v2001-generate-begin-rule", + ":void-cast-rule", + ], + alwayslink = 1, +) + +cc_library( + name = "banned-declared-name-patterns-rule", + srcs = ["banned-declared-name-patterns-rule.cc"], + hdrs = ["banned-declared-name-patterns-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:module", + "//verible/verilog/CST:package", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "banned-declared-name-patterns-rule_test", + srcs = ["banned-declared-name-patterns-rule_test.cc"], + deps = [ + ":banned-declared-name-patterns-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "no-tabs-rule", + srcs = ["no-tabs-rule.cc"], + hdrs = ["no-tabs-rule.h"], + deps = [ + "//verible/common/analysis:line-lint-rule", + "//verible/common/analysis:lint-rule-status", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "no-tabs-rule_test", + srcs = ["no-tabs-rule_test.cc"], + deps = [ + ":no-tabs-rule", + "//verible/common/analysis:line-linter-test-utils", + "//verible/common/analysis:linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "no-trailing-spaces-rule", + srcs = ["no-trailing-spaces-rule.cc"], + hdrs = ["no-trailing-spaces-rule.h"], + deps = [ + "//verible/common/analysis:line-lint-rule", + "//verible/common/analysis:lint-rule-status", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "no-trailing-spaces-rule_test", + srcs = ["no-trailing-spaces-rule_test.cc"], + deps = [ + ":no-trailing-spaces-rule", + "//verible/common/analysis:line-linter-test-utils", + "//verible/common/analysis:linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "positive-meaning-parameter-name-rule", + srcs = ["positive-meaning-parameter-name-rule.cc"], + hdrs = ["positive-meaning-parameter-name-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "positive-meaning-parameter-name-rule_test", + srcs = ["positive-meaning-parameter-name-rule_test.cc"], + deps = [ + ":positive-meaning-parameter-name-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "posix-eof-rule", + srcs = ["posix-eof-rule.cc"], + hdrs = ["posix-eof-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "posix-eof-rule_test", + srcs = ["posix-eof-rule_test.cc"], + deps = [ + ":posix-eof-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:text-structure-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "line-length-rule", + srcs = ["line-length-rule.cc"], + hdrs = ["line-length-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/strings:comment-utils", + "//verible/common/strings:utf8", + "//verible/common/text:config-utils", + "//verible/common/text:constants", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:iterator-range", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/analysis:verilog-linter-constants", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "line-length-rule_test", + srcs = ["line-length-rule_test.cc"], + deps = [ + ":line-length-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:text-structure-linter-test-utils", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbid-consecutive-null-statements-rule", + srcs = ["forbid-consecutive-null-statements-rule.cc"], + hdrs = ["forbid-consecutive-null-statements-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/verilog/CST:verilog-matchers", # fixdeps: keep + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbid-consecutive-null-statements-rule_test", + srcs = ["forbid-consecutive-null-statements-rule_test.cc"], + deps = [ + ":forbid-consecutive-null-statements-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbid-defparam-rule", + srcs = ["forbid-defparam-rule.cc"], + hdrs = ["forbid-defparam-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-matchers", # fixdeps: keep + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbid-defparam-rule_test", + srcs = ["forbid-defparam-rule_test.cc"], + deps = [ + ":forbid-defparam-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "mismatched-labels-rule", + srcs = ["mismatched-labels-rule.cc"], + hdrs = ["mismatched-labels-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/verilog/CST:seq-block", + "//verible/verilog/CST:verilog-matchers", # fixdeps: keep + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "mismatched-labels-rule_test", + srcs = ["mismatched-labels-rule_test.cc"], + deps = [ + ":mismatched-labels-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "module-begin-block-rule", + srcs = ["module-begin-block-rule.cc"], + hdrs = ["module-begin-block-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/verilog/CST:verilog-matchers", # fixdeps: keep + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "module-begin-block-rule_test", + srcs = ["module-begin-block-rule_test.cc"], + deps = [ + ":module-begin-block-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "module-filename-rule", + srcs = ["module-filename-rule.cc"], + hdrs = ["module-filename-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/verilog/CST:module", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "module-filename-rule_test", + srcs = ["module-filename-rule_test.cc"], + deps = [ + ":module-filename-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:text-structure-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "package-filename-rule", + srcs = ["package-filename-rule.cc"], + hdrs = ["package-filename-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/text:config-utils", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:file-util", + "//verible/verilog/CST:package", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "package-filename-rule_test", + srcs = ["package-filename-rule_test.cc"], + deps = [ + ":package-filename-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:text-structure-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "module-instantiation-rules", + srcs = ["module-instantiation-rules.cc"], + hdrs = ["module-instantiation-rules.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "module-instantiation-rules_test", + srcs = ["module-instantiation-rules_test.cc"], + deps = [ + ":module-instantiation-rules", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "one-module-per-file-rule", + srcs = ["one-module-per-file-rule.cc"], + hdrs = ["one-module-per-file-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis:text-structure-lint-rule", + "//verible/common/text:text-structure", + "//verible/common/util:logging", + "//verible/verilog/CST:module", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "one-module-per-file-rule_test", + srcs = ["one-module-per-file-rule_test.cc"], + deps = [ + ":one-module-per-file-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:text-structure-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "endif-comment-rule", + srcs = ["endif-comment-rule.cc"], + hdrs = ["endif-comment-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/strings:comment-utils", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "endif-comment-rule_test", + srcs = ["endif-comment-rule_test.cc"], + deps = [ + ":endif-comment-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:token-stream-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbidden-anonymous-enums-rule", + srcs = ["forbidden-anonymous-enums-rule.cc"], + hdrs = ["forbidden-anonymous-enums-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbidden-anonymous-enums-rule_test", + srcs = ["forbidden-anonymous-enums-rule_test.cc"], + deps = [ + ":forbidden-anonymous-enums-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "enum-name-style-rule", + srcs = ["enum-name-style-rule.cc"], + hdrs = ["enum-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/util:logging", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], + alwayslink = 1, +) + +cc_test( + name = "enum-name-style-rule_test", + srcs = ["enum-name-style-rule_test.cc"], + deps = [ + ":enum-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbidden-macro-rule", + srcs = ["forbidden-macro-rule.cc"], + hdrs = ["forbidden-macro-rule.h"], + deps = [ + "//verible/common/analysis:citation", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/util:container-util", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_library( + name = "forbidden-anonymous-structs-unions-rule", + srcs = ["forbidden-anonymous-structs-unions-rule.cc"], + hdrs = ["forbidden-anonymous-structs-unions-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbidden-anonymous-structs-unions-rule_test", + srcs = ["forbidden-anonymous-structs-unions-rule_test.cc"], + deps = [ + ":forbidden-anonymous-structs-unions-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_test( + name = "forbidden-macro-rule_test", + srcs = ["forbidden-macro-rule_test.cc"], + deps = [ + ":forbidden-macro-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbidden-symbol-rule", + srcs = ["forbidden-symbol-rule.cc"], + hdrs = ["forbidden-symbol-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/util:container-util", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbidden-symbol-rule_test", + srcs = ["forbidden-symbol-rule_test.cc"], + deps = [ + ":forbidden-symbol-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "generate-label-rule", + srcs = ["generate-label-rule.cc"], + hdrs = ["generate-label-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/analysis/matcher:core-matchers", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "generate-label-rule_test", + srcs = ["generate-label-rule_test.cc"], + deps = [ + ":generate-label-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "generate-label-prefix-rule", + srcs = ["generate-label-prefix-rule.cc"], + hdrs = ["generate-label-prefix-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:seq-block", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "generate-label-prefix-rule_test", + srcs = ["generate-label-prefix-rule_test.cc"], + deps = [ + ":generate-label-prefix-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "v2001-generate-begin-rule", + srcs = ["v2001-generate-begin-rule.cc"], + hdrs = ["v2001-generate-begin-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "v2001-generate-begin-rule_test", + srcs = ["v2001-generate-begin-rule_test.cc"], + deps = [ + ":forbidden-symbol-rule", + ":v2001-generate-begin-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "void-cast-rule", + srcs = ["void-cast-rule.cc"], + hdrs = ["void-cast-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/analysis/matcher:core-matchers", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "void-cast-rule_test", + srcs = ["void-cast-rule_test.cc"], + deps = [ + ":void-cast-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "undersized-binary-literal-rule", + srcs = ["undersized-binary-literal-rule.cc"], + hdrs = ["undersized-binary-literal-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/verilog/CST:numbers", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "undersized-binary-literal-rule_test", + srcs = ["undersized-binary-literal-rule_test.cc"], + deps = [ + ":undersized-binary-literal-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "truncated-numeric-literal-rule", + srcs = ["truncated-numeric-literal-rule.cc"], + hdrs = ["truncated-numeric-literal-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:numbers", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/numeric:int128", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "truncated-numeric-literal-rule_test", + srcs = ["truncated-numeric-literal-rule_test.cc"], + deps = [ + ":truncated-numeric-literal-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "create-object-name-match-rule", + srcs = ["create-object-name-match-rule.cc"], + hdrs = ["create-object-name-match-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/util:casts", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "create-object-name-match-rule_test", + srcs = ["create-object-name-match-rule_test.cc"], + deps = [ + ":create-object-name-match-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "always-comb-rule", + srcs = ["always-comb-rule.cc"], + hdrs = ["always-comb-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:statement", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "always-comb-rule_test", + srcs = ["always-comb-rule_test.cc"], + deps = [ + ":always-comb-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbid-line-continuations-rule", + srcs = ["forbid-line-continuations-rule.cc"], + hdrs = ["forbid-line-continuations-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbid-line-continuations-rule_test", + srcs = ["forbid-line-continuations-rule_test.cc"], + deps = [ + ":forbid-line-continuations-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "suggest-parentheses-rule", + srcs = ["suggest-parentheses-rule.cc"], + hdrs = ["suggest-parentheses-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "suggest-parentheses-rule_test", + srcs = ["suggest-parentheses-rule_test.cc"], + deps = [ + ":suggest-parentheses-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "always-comb-blocking-rule", + srcs = ["always-comb-blocking-rule.cc"], + hdrs = ["always-comb-blocking-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "always-comb-blocking-rule_test", + srcs = ["always-comb-blocking-rule_test.cc"], + deps = [ + ":always-comb-blocking-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "always-ff-non-blocking-rule", + srcs = ["always-ff-non-blocking-rule.cc"], + hdrs = ["always-ff-non-blocking-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "always-ff-non-blocking-rule_test", + srcs = ["always-ff-non-blocking-rule_test.cc"], + deps = [ + ":always-ff-non-blocking-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "explicit-begin-rule", + srcs = ["explicit-begin-rule.cc"], + hdrs = ["explicit-begin-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/text:config-utils", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/base:core_headers", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "explicit-begin-rule_test", + srcs = ["explicit-begin-rule_test.cc"], + deps = [ + ":explicit-begin-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:token-stream-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "explicit-function-lifetime-rule", + srcs = ["explicit-function-lifetime-rule.cc"], + hdrs = ["explicit-function-lifetime-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:functions", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "explicit-function-lifetime-rule_test", + srcs = ["explicit-function-lifetime-rule_test.cc"], + deps = [ + ":explicit-function-lifetime-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "explicit-task-lifetime-rule", + srcs = ["explicit-task-lifetime-rule.cc"], + hdrs = ["explicit-task-lifetime-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:tasks", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "explicit-task-lifetime-rule_test", + srcs = ["explicit-task-lifetime-rule_test.cc"], + deps = [ + ":explicit-task-lifetime-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "packed-dimensions-rule", + srcs = ["packed-dimensions-rule.cc"], + hdrs = ["packed-dimensions-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:dimensions", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "packed-dimensions-rule_test", + srcs = ["packed-dimensions-rule_test.cc"], + deps = [ + ":packed-dimensions-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "unpacked-dimensions-rule", + srcs = ["unpacked-dimensions-rule.cc"], + hdrs = ["unpacked-dimensions-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:dimensions", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "unpacked-dimensions-rule_test", + srcs = ["unpacked-dimensions-rule_test.cc"], + deps = [ + ":unpacked-dimensions-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "forbid-negative-array-dim", + srcs = ["forbid-negative-array-dim.cc"], + hdrs = ["forbid-negative-array-dim.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "forbid-negative-array-dim_test", + srcs = ["forbid-negative-array-dim_test.cc"], + deps = [ + ":forbid-negative-array-dim", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "case-missing-default-rule", + srcs = ["case-missing-default-rule.cc"], + hdrs = ["case-missing-default-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/analysis/matcher:matcher-builders", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "case-missing-default-rule_test", + srcs = ["case-missing-default-rule_test.cc"], + deps = [ + ":case-missing-default-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "constraint-name-style-rule", + srcs = ["constraint-name-style-rule.cc"], + hdrs = ["constraint-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/strings:naming-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:constraints", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "constraint-name-style-rule_test", + srcs = ["constraint-name-style-rule_test.cc"], + deps = [ + ":constraint-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "disable-statement-rule", + srcs = ["disable-statement-rule.cc"], + hdrs = ["disable-statement-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/common/util:iterator-adaptors", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "disable-statement-rule_test", + srcs = ["disable-statement-rule_test.cc"], + deps = [ + ":disable-statement-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "macro-name-style-rule", + srcs = ["macro-name-style-rule.cc"], + hdrs = ["macro-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/text:config-utils", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], + alwayslink = 1, +) + +cc_test( + name = "macro-name-style-rule_test", + srcs = ["macro-name-style-rule_test.cc"], + deps = [ + ":macro-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:token-stream-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "macro-string-concatenation-rule", + srcs = ["macro-string-concatenation-rule.cc"], + hdrs = ["macro-string-concatenation-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/text:token-info", + "//verible/common/util:value-saver", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "macro-string-concatenation-rule_test", + srcs = ["macro-string-concatenation-rule_test.cc"], + deps = [ + ":macro-string-concatenation-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:token-stream-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "numeric-format-string-style-rule", + srcs = ["numeric-format-string-style-rule.cc"], + hdrs = ["numeric-format-string-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:token-stream-lint-rule", + "//verible/common/text:token-info", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "numeric-format-string-style-rule_test", + srcs = ["numeric-format-string-style-rule_test.cc"], + deps = [ + ":numeric-format-string-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:token-stream-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "parameter-name-style-rule", + srcs = ["parameter-name-style-rule.cc"], + hdrs = ["parameter-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], + alwayslink = 1, +) + +cc_test( + name = "parameter-name-style-rule_test", + srcs = ["parameter-name-style-rule_test.cc"], + deps = [ + ":parameter-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "parameter-type-name-style-rule", + srcs = ["parameter-type-name-style-rule.cc"], + hdrs = ["parameter-type-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/strings:naming-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "parameter-type-name-style-rule_test", + srcs = ["parameter-type-name-style-rule_test.cc"], + deps = [ + ":parameter-type-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "proper-parameter-declaration-rule", + srcs = ["proper-parameter-declaration-rule.cc"], + hdrs = ["proper-parameter-declaration-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "proper-parameter-declaration-rule_test", + srcs = ["proper-parameter-declaration-rule_test.cc"], + deps = [ + ":proper-parameter-declaration-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "plusarg-assignment-rule", + srcs = ["plusarg-assignment-rule.cc"], + hdrs = ["plusarg-assignment-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "plusarg-assignment-rule_test", + srcs = ["plusarg-assignment-rule_test.cc"], + deps = [ + ":plusarg-assignment-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "port-name-suffix-rule", + srcs = ["port-name-suffix-rule.cc"], + hdrs = ["port-name-suffix-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/verilog/CST:port", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "port-name-suffix-rule_test", + srcs = ["port-name-suffix-rule_test.cc"], + deps = [ + ":port-name-suffix-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "explicit-parameter-storage-type-rule", + srcs = ["explicit-parameter-storage-type-rule.cc"], + hdrs = ["explicit-parameter-storage-type-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:verilog-matchers", # fixdeps: keep + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "explicit-parameter-storage-type-rule_test", + srcs = ["explicit-parameter-storage-type-rule_test.cc"], + deps = [ + ":explicit-parameter-storage-type-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "explicit-function-task-parameter-type-rule", + srcs = ["explicit-function-task-parameter-type-rule.cc"], + hdrs = ["explicit-function-task-parameter-type-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/util:logging", + "//verible/verilog/CST:port", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "explicit-function-task-parameter-type-rule_test", + srcs = ["explicit-function-task-parameter-type-rule_test.cc"], + deps = [ + ":explicit-function-task-parameter-type-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "signal-name-style-rule", + srcs = ["signal-name-style-rule.cc"], + hdrs = ["signal-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/verilog/CST:data", + "//verible/verilog/CST:net", + "//verible/verilog/CST:port", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], + alwayslink = 1, +) + +cc_test( + name = "signal-name-style-rule_test", + srcs = ["signal-name-style-rule_test.cc"], + deps = [ + ":signal-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "struct-union-name-style-rule", + srcs = ["struct-union-name-style-rule.cc"], + hdrs = ["struct-union-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/util:logging", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "struct-union-name-style-rule_test", + srcs = ["struct-union-name-style-rule_test.cc"], + deps = [ + ":struct-union-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "interface-name-style-rule", + srcs = ["interface-name-style-rule.cc"], + hdrs = ["interface-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:module", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], + alwayslink = 1, +) + +cc_test( + name = "interface-name-style-rule_test", + srcs = ["interface-name-style-rule_test.cc"], + deps = [ + ":interface-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "instance-shadow-rule", + srcs = ["instance-shadow-rule.cc"], + hdrs = ["instance-shadow-rule.h"], + deps = [ + "//verible/common/analysis:citation", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/common/util:iterator-adaptors", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "instance-shadow-rule-test", + srcs = ["instance-shadow-rule_test.cc"], + deps = [ + ":instance-shadow-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "legacy-genvar-declaration-rule", + srcs = ["legacy-genvar-declaration-rule.cc"], + hdrs = ["legacy-genvar-declaration-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "legacy-genvar-declaration-rule_test", + srcs = ["legacy-genvar-declaration-rule_test.cc"], + deps = [ + ":legacy-genvar-declaration-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "legacy-generate-region-rule", + srcs = ["legacy-generate-region-rule.cc"], + hdrs = ["legacy-generate-region-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher:matcher-builders", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "legacy-generate-region-rule_test", + srcs = ["legacy-generate-region-rule_test.cc"], + deps = [ + ":legacy-generate-region-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "uvm-macro-semicolon-rule", + srcs = ["uvm-macro-semicolon-rule.cc"], + hdrs = ["uvm-macro-semicolon-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:macro", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "uvm-macro-semicolon-rule_test", + srcs = ["uvm-macro-semicolon-rule_test.cc"], + deps = [ + ":uvm-macro-semicolon-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "suspicious-semicolon-rule", + srcs = ["suspicious-semicolon-rule.cc"], + hdrs = ["suspicious-semicolon-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "@com_google_absl//absl/strings:string_view", + ], + alwayslink = 1, +) + +cc_test( + name = "suspicious-semicolon-rule_test", + srcs = ["suspicious-semicolon-rule_test.cc"], + deps = [ + ":suspicious-semicolon-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "dff-name-style-rule", + srcs = ["dff-name-style-rule.cc"], + hdrs = ["dff-name-style-rule.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:syntax-tree-lint-rule", + "//verible/common/analysis/matcher", + "//verible/common/analysis/matcher:bound-symbol-manager", + "//verible/common/analysis/matcher:core-matchers", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:config-utils", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:statement", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:descriptions", + "//verible/verilog/analysis:lint-rule-registry", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], + alwayslink = 1, +) + +cc_test( + name = "dff-name-style-rule_test", + srcs = ["dff-name-style-rule_test.cc"], + deps = [ + ":dff-name-style-rule", + "//verible/common/analysis:linter-test-utils", + "//verible/common/analysis:syntax-tree-linter-test-utils", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/log:check", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) diff --git a/verilog/analysis/checkers/README.md b/verible/verilog/analysis/checkers/README.md similarity index 100% rename from verilog/analysis/checkers/README.md rename to verible/verilog/analysis/checkers/README.md diff --git a/verilog/analysis/checkers/always-comb-blocking-rule.cc b/verible/verilog/analysis/checkers/always-comb-blocking-rule.cc similarity index 76% rename from verilog/analysis/checkers/always-comb-blocking-rule.cc rename to verible/verilog/analysis/checkers/always-comb-blocking-rule.cc index d2fc762e1..26776e7bb 100644 --- a/verilog/analysis/checkers/always-comb-blocking-rule.cc +++ b/verible/verilog/analysis/checkers/always-comb-blocking-rule.cc @@ -12,27 +12,27 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/always-comb-blocking-rule.h" +#include "verible/verilog/analysis/checkers/always-comb-blocking-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-comb-blocking-rule.h b/verible/verilog/analysis/checkers/always-comb-blocking-rule.h similarity index 83% rename from verilog/analysis/checkers/always-comb-blocking-rule.h rename to verible/verilog/analysis/checkers/always-comb-blocking-rule.h index 75cf436a0..4ff116ef6 100644 --- a/verilog/analysis/checkers/always-comb-blocking-rule.h +++ b/verible/verilog/analysis/checkers/always-comb-blocking-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-comb-blocking-rule_test.cc b/verible/verilog/analysis/checkers/always-comb-blocking-rule_test.cc similarity index 90% rename from verilog/analysis/checkers/always-comb-blocking-rule_test.cc rename to verible/verilog/analysis/checkers/always-comb-blocking-rule_test.cc index cec552872..b0ba3052d 100644 --- a/verilog/analysis/checkers/always-comb-blocking-rule_test.cc +++ b/verible/verilog/analysis/checkers/always-comb-blocking-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/always-comb-blocking-rule.h" +#include "verible/verilog/analysis/checkers/always-comb-blocking-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-comb-rule.cc b/verible/verilog/analysis/checkers/always-comb-rule.cc similarity index 83% rename from verilog/analysis/checkers/always-comb-rule.cc rename to verible/verilog/analysis/checkers/always-comb-rule.cc index 054fa27c1..0771b3818 100644 --- a/verilog/analysis/checkers/always-comb-rule.cc +++ b/verible/verilog/analysis/checkers/always-comb-rule.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/always-comb-rule.h" +#include "verible/verilog/analysis/checkers/always-comb-rule.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/statement.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/statement.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-comb-rule.h b/verible/verilog/analysis/checkers/always-comb-rule.h similarity index 85% rename from verilog/analysis/checkers/always-comb-rule.h rename to verible/verilog/analysis/checkers/always-comb-rule.h index 2d5ac4dd6..f70ba9040 100644 --- a/verilog/analysis/checkers/always-comb-rule.h +++ b/verible/verilog/analysis/checkers/always-comb-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-comb-rule_test.cc b/verible/verilog/analysis/checkers/always-comb-rule_test.cc similarity index 91% rename from verilog/analysis/checkers/always-comb-rule_test.cc rename to verible/verilog/analysis/checkers/always-comb-rule_test.cc index 687401845..6f3a3ca89 100644 --- a/verilog/analysis/checkers/always-comb-rule_test.cc +++ b/verible/verilog/analysis/checkers/always-comb-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/always-comb-rule.h" +#include "verible/verilog/analysis/checkers/always-comb-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-ff-non-blocking-rule.cc b/verible/verilog/analysis/checkers/always-ff-non-blocking-rule.cc similarity index 90% rename from verilog/analysis/checkers/always-ff-non-blocking-rule.cc rename to verible/verilog/analysis/checkers/always-ff-non-blocking-rule.cc index 083350686..2d7ef57aa 100644 --- a/verilog/analysis/checkers/always-ff-non-blocking-rule.cc +++ b/verible/verilog/analysis/checkers/always-ff-non-blocking-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/always-ff-non-blocking-rule.h" +#include "verible/verilog/analysis/checkers/always-ff-non-blocking-rule.h" #include #include @@ -20,21 +20,21 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-ff-non-blocking-rule.h b/verible/verilog/analysis/checkers/always-ff-non-blocking-rule.h similarity index 90% rename from verilog/analysis/checkers/always-ff-non-blocking-rule.h rename to verible/verilog/analysis/checkers/always-ff-non-blocking-rule.h index 13475051f..2693c3725 100644 --- a/verilog/analysis/checkers/always-ff-non-blocking-rule.h +++ b/verible/verilog/analysis/checkers/always-ff-non-blocking-rule.h @@ -22,11 +22,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/always-ff-non-blocking-rule_test.cc b/verible/verilog/analysis/checkers/always-ff-non-blocking-rule_test.cc similarity index 93% rename from verilog/analysis/checkers/always-ff-non-blocking-rule_test.cc rename to verible/verilog/analysis/checkers/always-ff-non-blocking-rule_test.cc index c23c73af9..ac6f17f89 100644 --- a/verilog/analysis/checkers/always-ff-non-blocking-rule_test.cc +++ b/verible/verilog/analysis/checkers/always-ff-non-blocking-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/always-ff-non-blocking-rule.h" +#include "verible/verilog/analysis/checkers/always-ff-non-blocking-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/banned-declared-name-patterns-rule.cc b/verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.cc similarity index 82% rename from verilog/analysis/checkers/banned-declared-name-patterns-rule.cc rename to verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.cc index fa0394105..dadcdf60c 100644 --- a/verilog/analysis/checkers/banned-declared-name-patterns-rule.cc +++ b/verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/banned-declared-name-patterns-rule.h" +#include "verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.h" #include #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/module.h" -#include "verilog/CST/package.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/package.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/banned-declared-name-patterns-rule.h b/verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.h similarity index 80% rename from verilog/analysis/checkers/banned-declared-name-patterns-rule.h rename to verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.h index d7fd6f437..afefc3280 100644 --- a/verilog/analysis/checkers/banned-declared-name-patterns-rule.h +++ b/verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.h @@ -17,12 +17,12 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/banned-declared-name-patterns-rule_test.cc b/verible/verilog/analysis/checkers/banned-declared-name-patterns-rule_test.cc similarity index 87% rename from verilog/analysis/checkers/banned-declared-name-patterns-rule_test.cc rename to verible/verilog/analysis/checkers/banned-declared-name-patterns-rule_test.cc index 8505732ce..f42d0e770 100644 --- a/verilog/analysis/checkers/banned-declared-name-patterns-rule_test.cc +++ b/verible/verilog/analysis/checkers/banned-declared-name-patterns-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/banned-declared-name-patterns-rule.h" +#include "verible/verilog/analysis/checkers/banned-declared-name-patterns-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/case-missing-default-rule.cc b/verible/verilog/analysis/checkers/case-missing-default-rule.cc similarity index 77% rename from verilog/analysis/checkers/case-missing-default-rule.cc rename to verible/verilog/analysis/checkers/case-missing-default-rule.cc index 3bdc324a8..53cc6e37e 100644 --- a/verilog/analysis/checkers/case-missing-default-rule.cc +++ b/verible/verilog/analysis/checkers/case-missing-default-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/case-missing-default-rule.h" +#include "verible/verilog/analysis/checkers/case-missing-default-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher-builders.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/case-missing-default-rule.h b/verible/verilog/analysis/checkers/case-missing-default-rule.h similarity index 85% rename from verilog/analysis/checkers/case-missing-default-rule.h rename to verible/verilog/analysis/checkers/case-missing-default-rule.h index f51f3e5e6..df8ab5c16 100644 --- a/verilog/analysis/checkers/case-missing-default-rule.h +++ b/verible/verilog/analysis/checkers/case-missing-default-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/case-missing-default-rule_test.cc b/verible/verilog/analysis/checkers/case-missing-default-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/case-missing-default-rule_test.cc rename to verible/verilog/analysis/checkers/case-missing-default-rule_test.cc index 36a7c90e0..2b465df8a 100644 --- a/verilog/analysis/checkers/case-missing-default-rule_test.cc +++ b/verible/verilog/analysis/checkers/case-missing-default-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/case-missing-default-rule.h" +#include "verible/verilog/analysis/checkers/case-missing-default-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/constraint-name-style-rule.cc b/verible/verilog/analysis/checkers/constraint-name-style-rule.cc similarity index 80% rename from verilog/analysis/checkers/constraint-name-style-rule.cc rename to verible/verilog/analysis/checkers/constraint-name-style-rule.cc index 5791e11cf..ac832af33 100644 --- a/verilog/analysis/checkers/constraint-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/constraint-name-style-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/constraint-name-style-rule.h" +#include "verible/verilog/analysis/checkers/constraint-name-style-rule.h" #include #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/strings/naming-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/constraints.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/strings/naming-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/constraints.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/constraint-name-style-rule.h b/verible/verilog/analysis/checkers/constraint-name-style-rule.h similarity index 85% rename from verilog/analysis/checkers/constraint-name-style-rule.h rename to verible/verilog/analysis/checkers/constraint-name-style-rule.h index 75cdb26d4..cb934ec55 100644 --- a/verilog/analysis/checkers/constraint-name-style-rule.h +++ b/verible/verilog/analysis/checkers/constraint-name-style-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/constraint-name-style-rule_test.cc b/verible/verilog/analysis/checkers/constraint-name-style-rule_test.cc similarity index 91% rename from verilog/analysis/checkers/constraint-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/constraint-name-style-rule_test.cc index ba1aa8ad1..bff515a0b 100644 --- a/verilog/analysis/checkers/constraint-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/constraint-name-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/constraint-name-style-rule.h" +#include "verible/verilog/analysis/checkers/constraint-name-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/create-object-name-match-rule.cc b/verible/verilog/analysis/checkers/create-object-name-match-rule.cc similarity index 89% rename from verilog/analysis/checkers/create-object-name-match-rule.cc rename to verible/verilog/analysis/checkers/create-object-name-match-rule.cc index a10e52a84..0675f631b 100644 --- a/verilog/analysis/checkers/create-object-name-match-rule.cc +++ b/verible/verilog/analysis/checkers/create-object-name-match-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/create-object-name-match-rule.h" +#include "verible/verilog/analysis/checkers/create-object-name-match-rule.h" #include #include @@ -21,21 +21,21 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/casts.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/casts.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/create-object-name-match-rule.h b/verible/verilog/analysis/checkers/create-object-name-match-rule.h similarity index 87% rename from verilog/analysis/checkers/create-object-name-match-rule.h rename to verible/verilog/analysis/checkers/create-object-name-match-rule.h index 1c491b83b..5a8fddbac 100644 --- a/verilog/analysis/checkers/create-object-name-match-rule.h +++ b/verible/verilog/analysis/checkers/create-object-name-match-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/create-object-name-match-rule_test.cc b/verible/verilog/analysis/checkers/create-object-name-match-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/create-object-name-match-rule_test.cc rename to verible/verilog/analysis/checkers/create-object-name-match-rule_test.cc index 2341f211c..a78b4ea61 100644 --- a/verilog/analysis/checkers/create-object-name-match-rule_test.cc +++ b/verible/verilog/analysis/checkers/create-object-name-match-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/create-object-name-match-rule.h" +#include "verible/verilog/analysis/checkers/create-object-name-match-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/dff-name-style-rule.cc b/verible/verilog/analysis/checkers/dff-name-style-rule.cc similarity index 93% rename from verilog/analysis/checkers/dff-name-style-rule.cc rename to verible/verilog/analysis/checkers/dff-name-style-rule.cc index 758fc8360..43ac52923 100644 --- a/verilog/analysis/checkers/dff-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/dff-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/dff-name-style-rule.h" +#include "verible/verilog/analysis/checkers/dff-name-style-rule.h" #include #include @@ -33,25 +33,25 @@ #include "absl/strings/str_join.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/core-matchers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" #include "re2/re2.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/statement.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/core-matchers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/statement.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/dff-name-style-rule.h b/verible/verilog/analysis/checkers/dff-name-style-rule.h similarity index 96% rename from verilog/analysis/checkers/dff-name-style-rule.h rename to verible/verilog/analysis/checkers/dff-name-style-rule.h index 777ca189d..40d7c75ee 100644 --- a/verilog/analysis/checkers/dff-name-style-rule.h +++ b/verible/verilog/analysis/checkers/dff-name-style-rule.h @@ -26,12 +26,12 @@ #include "absl/status/status.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/dff-name-style-rule_test.cc b/verible/verilog/analysis/checkers/dff-name-style-rule_test.cc similarity index 97% rename from verilog/analysis/checkers/dff-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/dff-name-style-rule_test.cc index 6a505d437..ba45137bf 100644 --- a/verilog/analysis/checkers/dff-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/dff-name-style-rule_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/dff-name-style-rule.h" +#include "verible/verilog/analysis/checkers/dff-name-style-rule.h" #include #include @@ -21,11 +21,11 @@ #include "absl/log/check.h" #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/disable-statement-rule.cc b/verible/verilog/analysis/checkers/disable-statement-rule.cc similarity index 85% rename from verilog/analysis/checkers/disable-statement-rule.cc rename to verible/verilog/analysis/checkers/disable-statement-rule.cc index aeb513360..7ce06812b 100644 --- a/verilog/analysis/checkers/disable-statement-rule.cc +++ b/verible/verilog/analysis/checkers/disable-statement-rule.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/disable-statement-rule.h" +#include "verible/verilog/analysis/checkers/disable-statement-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "common/util/iterator-adaptors.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/disable-statement-rule.h b/verible/verilog/analysis/checkers/disable-statement-rule.h similarity index 84% rename from verilog/analysis/checkers/disable-statement-rule.h rename to verible/verilog/analysis/checkers/disable-statement-rule.h index 9d0be16e0..13d38099d 100644 --- a/verilog/analysis/checkers/disable-statement-rule.h +++ b/verible/verilog/analysis/checkers/disable-statement-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/disable-statement-rule_test.cc b/verible/verilog/analysis/checkers/disable-statement-rule_test.cc similarity index 92% rename from verilog/analysis/checkers/disable-statement-rule_test.cc rename to verible/verilog/analysis/checkers/disable-statement-rule_test.cc index 469d2b74b..b3f2cc20c 100644 --- a/verilog/analysis/checkers/disable-statement-rule_test.cc +++ b/verible/verilog/analysis/checkers/disable-statement-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/disable-statement-rule.h" +#include "verible/verilog/analysis/checkers/disable-statement-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/endif-comment-rule.cc b/verible/verilog/analysis/checkers/endif-comment-rule.cc similarity index 92% rename from verilog/analysis/checkers/endif-comment-rule.cc rename to verible/verilog/analysis/checkers/endif-comment-rule.cc index fd1899dfd..2fd02ce70 100644 --- a/verilog/analysis/checkers/endif-comment-rule.cc +++ b/verible/verilog/analysis/checkers/endif-comment-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/endif-comment-rule.h" +#include "verible/verilog/analysis/checkers/endif-comment-rule.h" #include #include @@ -20,13 +20,13 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/strings/comment-utils.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/strings/comment-utils.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/endif-comment-rule.h b/verible/verilog/analysis/checkers/endif-comment-rule.h similarity index 90% rename from verilog/analysis/checkers/endif-comment-rule.h rename to verible/verilog/analysis/checkers/endif-comment-rule.h index cf8d52029..f690841e1 100644 --- a/verilog/analysis/checkers/endif-comment-rule.h +++ b/verible/verilog/analysis/checkers/endif-comment-rule.h @@ -18,10 +18,10 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/endif-comment-rule_test.cc b/verible/verilog/analysis/checkers/endif-comment-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/endif-comment-rule_test.cc rename to verible/verilog/analysis/checkers/endif-comment-rule_test.cc index 755276dcd..47701b139 100644 --- a/verilog/analysis/checkers/endif-comment-rule_test.cc +++ b/verible/verilog/analysis/checkers/endif-comment-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/endif-comment-rule.h" +#include "verible/verilog/analysis/checkers/endif-comment-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/token-stream-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/token-stream-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/enum-name-style-rule.cc b/verible/verilog/analysis/checkers/enum-name-style-rule.cc similarity index 85% rename from verilog/analysis/checkers/enum-name-style-rule.cc rename to verible/verilog/analysis/checkers/enum-name-style-rule.cc index bfe31a378..6a3df72a6 100644 --- a/verilog/analysis/checkers/enum-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/enum-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/enum-name-style-rule.h" +#include "verible/verilog/analysis/checkers/enum-name-style-rule.h" #include #include @@ -21,18 +21,18 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/util/logging.h" #include "re2/re2.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/enum-name-style-rule.h b/verible/verilog/analysis/checkers/enum-name-style-rule.h similarity index 87% rename from verilog/analysis/checkers/enum-name-style-rule.h rename to verible/verilog/analysis/checkers/enum-name-style-rule.h index 4b84d1df6..258159383 100644 --- a/verilog/analysis/checkers/enum-name-style-rule.h +++ b/verible/verilog/analysis/checkers/enum-name-style-rule.h @@ -21,12 +21,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/enum-name-style-rule_test.cc b/verible/verilog/analysis/checkers/enum-name-style-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/enum-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/enum-name-style-rule_test.cc index 2459adc40..79c01a538 100644 --- a/verilog/analysis/checkers/enum-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/enum-name-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/enum-name-style-rule.h" +#include "verible/verilog/analysis/checkers/enum-name-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-begin-rule.cc b/verible/verilog/analysis/checkers/explicit-begin-rule.cc similarity index 96% rename from verilog/analysis/checkers/explicit-begin-rule.cc rename to verible/verilog/analysis/checkers/explicit-begin-rule.cc index 5eae460a9..57637ed66 100644 --- a/verilog/analysis/checkers/explicit-begin-rule.cc +++ b/verible/verilog/analysis/checkers/explicit-begin-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-begin-rule.h" +#include "verible/verilog/analysis/checkers/explicit-begin-rule.h" #include @@ -20,13 +20,13 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/config-utils.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-begin-rule.h b/verible/verilog/analysis/checkers/explicit-begin-rule.h similarity index 92% rename from verilog/analysis/checkers/explicit-begin-rule.h rename to verible/verilog/analysis/checkers/explicit-begin-rule.h index 3ba4c230d..cd034854d 100644 --- a/verilog/analysis/checkers/explicit-begin-rule.h +++ b/verible/verilog/analysis/checkers/explicit-begin-rule.h @@ -19,10 +19,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-begin-rule_test.cc b/verible/verilog/analysis/checkers/explicit-begin-rule_test.cc similarity index 98% rename from verilog/analysis/checkers/explicit-begin-rule_test.cc rename to verible/verilog/analysis/checkers/explicit-begin-rule_test.cc index d73b05038..ad4ce9e25 100644 --- a/verilog/analysis/checkers/explicit-begin-rule_test.cc +++ b/verible/verilog/analysis/checkers/explicit-begin-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-begin-rule.h" +#include "verible/verilog/analysis/checkers/explicit-begin-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/token-stream-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/token-stream-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-function-lifetime-rule.cc b/verible/verilog/analysis/checkers/explicit-function-lifetime-rule.cc similarity index 75% rename from verilog/analysis/checkers/explicit-function-lifetime-rule.cc rename to verible/verilog/analysis/checkers/explicit-function-lifetime-rule.cc index 54e57c7dc..676362fb4 100644 --- a/verilog/analysis/checkers/explicit-function-lifetime-rule.cc +++ b/verible/verilog/analysis/checkers/explicit-function-lifetime-rule.cc @@ -12,26 +12,26 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-function-lifetime-rule.h" +#include "verible/verilog/analysis/checkers/explicit-function-lifetime-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/functions.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/functions.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-function-lifetime-rule.h b/verible/verilog/analysis/checkers/explicit-function-lifetime-rule.h similarity index 85% rename from verilog/analysis/checkers/explicit-function-lifetime-rule.h rename to verible/verilog/analysis/checkers/explicit-function-lifetime-rule.h index 2f7b33699..5df618896 100644 --- a/verilog/analysis/checkers/explicit-function-lifetime-rule.h +++ b/verible/verilog/analysis/checkers/explicit-function-lifetime-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-function-lifetime-rule_test.cc b/verible/verilog/analysis/checkers/explicit-function-lifetime-rule_test.cc similarity index 89% rename from verilog/analysis/checkers/explicit-function-lifetime-rule_test.cc rename to verible/verilog/analysis/checkers/explicit-function-lifetime-rule_test.cc index bf405c91d..91ecfc6fd 100644 --- a/verilog/analysis/checkers/explicit-function-lifetime-rule_test.cc +++ b/verible/verilog/analysis/checkers/explicit-function-lifetime-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-function-lifetime-rule.h" +#include "verible/verilog/analysis/checkers/explicit-function-lifetime-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.cc b/verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.cc similarity index 78% rename from verilog/analysis/checkers/explicit-function-task-parameter-type-rule.cc rename to verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.cc index 23909915d..261ce843f 100644 --- a/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.cc +++ b/verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.cc @@ -12,22 +12,22 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h" +#include "verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/util/logging.h" -#include "verilog/CST/port.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h b/verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h similarity index 85% rename from verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h rename to verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h index 5a6dc5c75..1a05ba0d1 100644 --- a/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h +++ b/verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc b/verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc similarity index 95% rename from verilog/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc rename to verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc index 67f0154c8..094f0029a 100644 --- a/verilog/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc +++ b/verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h" +#include "verible/verilog/analysis/checkers/explicit-function-task-parameter-type-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-parameter-storage-type-rule.cc b/verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.cc similarity index 82% rename from verilog/analysis/checkers/explicit-parameter-storage-type-rule.cc rename to verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.cc index 6cf621fb6..6901a9011 100644 --- a/verilog/analysis/checkers/explicit-parameter-storage-type-rule.cc +++ b/verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-parameter-storage-type-rule.h" +#include "verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h" #include #include @@ -21,20 +21,20 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h b/verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h similarity index 87% rename from verilog/analysis/checkers/explicit-parameter-storage-type-rule.h rename to verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h index e72018a8f..9d513f611 100644 --- a/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h +++ b/verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h @@ -19,11 +19,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-parameter-storage-type-rule_test.cc b/verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule_test.cc similarity index 93% rename from verilog/analysis/checkers/explicit-parameter-storage-type-rule_test.cc rename to verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule_test.cc index 3d025cfdd..cad0ff377 100644 --- a/verilog/analysis/checkers/explicit-parameter-storage-type-rule_test.cc +++ b/verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-parameter-storage-type-rule.h" +#include "verible/verilog/analysis/checkers/explicit-parameter-storage-type-rule.h" #include #include "absl/status/status.h" #include "absl/strings/match.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-task-lifetime-rule.cc b/verible/verilog/analysis/checkers/explicit-task-lifetime-rule.cc similarity index 77% rename from verilog/analysis/checkers/explicit-task-lifetime-rule.cc rename to verible/verilog/analysis/checkers/explicit-task-lifetime-rule.cc index 70c0fbed9..d771c8dbc 100644 --- a/verilog/analysis/checkers/explicit-task-lifetime-rule.cc +++ b/verible/verilog/analysis/checkers/explicit-task-lifetime-rule.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-task-lifetime-rule.h" +#include "verible/verilog/analysis/checkers/explicit-task-lifetime-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/tasks.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/tasks.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-task-lifetime-rule.h b/verible/verilog/analysis/checkers/explicit-task-lifetime-rule.h similarity index 81% rename from verilog/analysis/checkers/explicit-task-lifetime-rule.h rename to verible/verilog/analysis/checkers/explicit-task-lifetime-rule.h index 97fc916cf..c562ff1ca 100644 --- a/verilog/analysis/checkers/explicit-task-lifetime-rule.h +++ b/verible/verilog/analysis/checkers/explicit-task-lifetime-rule.h @@ -17,12 +17,12 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/explicit-task-lifetime-rule_test.cc b/verible/verilog/analysis/checkers/explicit-task-lifetime-rule_test.cc similarity index 88% rename from verilog/analysis/checkers/explicit-task-lifetime-rule_test.cc rename to verible/verilog/analysis/checkers/explicit-task-lifetime-rule_test.cc index f22b0521d..5b8084b8d 100644 --- a/verilog/analysis/checkers/explicit-task-lifetime-rule_test.cc +++ b/verible/verilog/analysis/checkers/explicit-task-lifetime-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/explicit-task-lifetime-rule.h" +#include "verible/verilog/analysis/checkers/explicit-task-lifetime-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.cc b/verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.cc similarity index 83% rename from verilog/analysis/checkers/forbid-consecutive-null-statements-rule.cc rename to verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.cc index 250ec9e3b..41032ad76 100644 --- a/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.cc +++ b/verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h" +#include "verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h b/verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h similarity index 83% rename from verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h rename to verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h index 23036043a..18e3473c5 100644 --- a/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h +++ b/verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h @@ -17,12 +17,12 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc b/verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc rename to verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc index fc5ed832d..83914c6dc 100644 --- a/verilog/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h" +#include "verible/verilog/analysis/checkers/forbid-consecutive-null-statements-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-defparam-rule.cc b/verible/verilog/analysis/checkers/forbid-defparam-rule.cc similarity index 73% rename from verilog/analysis/checkers/forbid-defparam-rule.cc rename to verible/verilog/analysis/checkers/forbid-defparam-rule.cc index 10b17e931..9e5f96f95 100644 --- a/verilog/analysis/checkers/forbid-defparam-rule.cc +++ b/verible/verilog/analysis/checkers/forbid-defparam-rule.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-defparam-rule.h" +#include "verible/verilog/analysis/checkers/forbid-defparam-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-defparam-rule.h b/verible/verilog/analysis/checkers/forbid-defparam-rule.h similarity index 84% rename from verilog/analysis/checkers/forbid-defparam-rule.h rename to verible/verilog/analysis/checkers/forbid-defparam-rule.h index 90ab826cc..0cf9ffa1a 100644 --- a/verilog/analysis/checkers/forbid-defparam-rule.h +++ b/verible/verilog/analysis/checkers/forbid-defparam-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-defparam-rule_test.cc b/verible/verilog/analysis/checkers/forbid-defparam-rule_test.cc similarity index 86% rename from verilog/analysis/checkers/forbid-defparam-rule_test.cc rename to verible/verilog/analysis/checkers/forbid-defparam-rule_test.cc index 5b7dad2f0..3117ea1c2 100644 --- a/verilog/analysis/checkers/forbid-defparam-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbid-defparam-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-defparam-rule.h" +#include "verible/verilog/analysis/checkers/forbid-defparam-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-line-continuations-rule.cc b/verible/verilog/analysis/checkers/forbid-line-continuations-rule.cc similarity index 81% rename from verilog/analysis/checkers/forbid-line-continuations-rule.cc rename to verible/verilog/analysis/checkers/forbid-line-continuations-rule.cc index dbb3548c8..1b5fea762 100644 --- a/verilog/analysis/checkers/forbid-line-continuations-rule.cc +++ b/verible/verilog/analysis/checkers/forbid-line-continuations-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-line-continuations-rule.h" +#include "verible/verilog/analysis/checkers/forbid-line-continuations-rule.h" #include #include #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-line-continuations-rule.h b/verible/verilog/analysis/checkers/forbid-line-continuations-rule.h similarity index 84% rename from verilog/analysis/checkers/forbid-line-continuations-rule.h rename to verible/verilog/analysis/checkers/forbid-line-continuations-rule.h index d5b9e9bc8..638b9a2eb 100644 --- a/verilog/analysis/checkers/forbid-line-continuations-rule.h +++ b/verible/verilog/analysis/checkers/forbid-line-continuations-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-line-continuations-rule_test.cc b/verible/verilog/analysis/checkers/forbid-line-continuations-rule_test.cc similarity index 90% rename from verilog/analysis/checkers/forbid-line-continuations-rule_test.cc rename to verible/verilog/analysis/checkers/forbid-line-continuations-rule_test.cc index 4664e1aff..0d0b3906d 100644 --- a/verilog/analysis/checkers/forbid-line-continuations-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbid-line-continuations-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-line-continuations-rule.h" +#include "verible/verilog/analysis/checkers/forbid-line-continuations-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-negative-array-dim.cc b/verible/verilog/analysis/checkers/forbid-negative-array-dim.cc similarity index 79% rename from verilog/analysis/checkers/forbid-negative-array-dim.cc rename to verible/verilog/analysis/checkers/forbid-negative-array-dim.cc index 211e59df4..94280347b 100644 --- a/verilog/analysis/checkers/forbid-negative-array-dim.cc +++ b/verible/verilog/analysis/checkers/forbid-negative-array-dim.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-negative-array-dim.h" +#include "verible/verilog/analysis/checkers/forbid-negative-array-dim.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-negative-array-dim.h b/verible/verilog/analysis/checkers/forbid-negative-array-dim.h similarity index 86% rename from verilog/analysis/checkers/forbid-negative-array-dim.h rename to verible/verilog/analysis/checkers/forbid-negative-array-dim.h index 9899765e5..bd8e76acf 100644 --- a/verilog/analysis/checkers/forbid-negative-array-dim.h +++ b/verible/verilog/analysis/checkers/forbid-negative-array-dim.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbid-negative-array-dim_test.cc b/verible/verilog/analysis/checkers/forbid-negative-array-dim_test.cc similarity index 88% rename from verilog/analysis/checkers/forbid-negative-array-dim_test.cc rename to verible/verilog/analysis/checkers/forbid-negative-array-dim_test.cc index a5f8e54e6..0ac06fe15 100644 --- a/verilog/analysis/checkers/forbid-negative-array-dim_test.cc +++ b/verible/verilog/analysis/checkers/forbid-negative-array-dim_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbid-negative-array-dim.h" +#include "verible/verilog/analysis/checkers/forbid-negative-array-dim.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-anonymous-enums-rule.cc b/verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.cc similarity index 79% rename from verilog/analysis/checkers/forbidden-anonymous-enums-rule.cc rename to verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.cc index 9d16cd0b4..ed0004c20 100644 --- a/verilog/analysis/checkers/forbidden-anonymous-enums-rule.cc +++ b/verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-anonymous-enums-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h b/verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h similarity index 87% rename from verilog/analysis/checkers/forbidden-anonymous-enums-rule.h rename to verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h index 9dd9a5c13..53d6c4b9d 100644 --- a/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h +++ b/verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-anonymous-enums-rule_test.cc b/verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule_test.cc similarity index 86% rename from verilog/analysis/checkers/forbidden-anonymous-enums-rule_test.cc rename to verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule_test.cc index 919917109..502f9b86e 100644 --- a/verilog/analysis/checkers/forbidden-anonymous-enums-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-anonymous-enums-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-anonymous-enums-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc b/verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc similarity index 84% rename from verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc rename to verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc index 8bd437486..8dd9e1692 100644 --- a/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc +++ b/verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.cc @@ -12,22 +12,22 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h" #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h b/verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h similarity index 90% rename from verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h rename to verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h index 3562812dc..75ec2479a 100644 --- a/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h +++ b/verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h @@ -19,11 +19,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc b/verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc rename to verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc index 5c9aff762..ae0725bf1 100644 --- a/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-anonymous-structs-unions-rule.h" #include #include "absl/status/status.h" #include "absl/strings/match.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-macro-rule.cc b/verible/verilog/analysis/checkers/forbidden-macro-rule.cc similarity index 81% rename from verilog/analysis/checkers/forbidden-macro-rule.cc rename to verible/verilog/analysis/checkers/forbidden-macro-rule.cc index 3461b43a6..e252e2b32 100644 --- a/verilog/analysis/checkers/forbidden-macro-rule.cc +++ b/verible/verilog/analysis/checkers/forbidden-macro-rule.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-macro-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-macro-rule.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/citation.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/container-util.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/citation.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/container-util.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-macro-rule.h b/verible/verilog/analysis/checkers/forbidden-macro-rule.h similarity index 85% rename from verilog/analysis/checkers/forbidden-macro-rule.h rename to verible/verilog/analysis/checkers/forbidden-macro-rule.h index aed621a83..0d56d2cf0 100644 --- a/verilog/analysis/checkers/forbidden-macro-rule.h +++ b/verible/verilog/analysis/checkers/forbidden-macro-rule.h @@ -19,12 +19,12 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-macro-rule_test.cc b/verible/verilog/analysis/checkers/forbidden-macro-rule_test.cc similarity index 89% rename from verilog/analysis/checkers/forbidden-macro-rule_test.cc rename to verible/verilog/analysis/checkers/forbidden-macro-rule_test.cc index 91e4d49a8..8889d991a 100644 --- a/verilog/analysis/checkers/forbidden-macro-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbidden-macro-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-macro-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-macro-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-symbol-rule.cc b/verible/verilog/analysis/checkers/forbidden-symbol-rule.cc similarity index 84% rename from verilog/analysis/checkers/forbidden-symbol-rule.cc rename to verible/verilog/analysis/checkers/forbidden-symbol-rule.cc index 2abbf85ed..0cb7f2f6e 100644 --- a/verilog/analysis/checkers/forbidden-symbol-rule.cc +++ b/verible/verilog/analysis/checkers/forbidden-symbol-rule.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-symbol-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-symbol-rule.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/container-util.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/container-util.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-symbol-rule.h b/verible/verilog/analysis/checkers/forbidden-symbol-rule.h similarity index 85% rename from verilog/analysis/checkers/forbidden-symbol-rule.h rename to verible/verilog/analysis/checkers/forbidden-symbol-rule.h index 33d3b2617..f437bc7ff 100644 --- a/verilog/analysis/checkers/forbidden-symbol-rule.h +++ b/verible/verilog/analysis/checkers/forbidden-symbol-rule.h @@ -19,12 +19,12 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/forbidden-symbol-rule_test.cc b/verible/verilog/analysis/checkers/forbidden-symbol-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/forbidden-symbol-rule_test.cc rename to verible/verilog/analysis/checkers/forbidden-symbol-rule_test.cc index 9fb3cfd3f..a64813146 100644 --- a/verilog/analysis/checkers/forbidden-symbol-rule_test.cc +++ b/verible/verilog/analysis/checkers/forbidden-symbol-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/forbidden-symbol-rule.h" +#include "verible/verilog/analysis/checkers/forbidden-symbol-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/generate-label-prefix-rule.cc b/verible/verilog/analysis/checkers/generate-label-prefix-rule.cc similarity index 80% rename from verilog/analysis/checkers/generate-label-prefix-rule.cc rename to verible/verilog/analysis/checkers/generate-label-prefix-rule.cc index 24d34c6da..f442ebf90 100644 --- a/verilog/analysis/checkers/generate-label-prefix-rule.cc +++ b/verible/verilog/analysis/checkers/generate-label-prefix-rule.cc @@ -12,22 +12,22 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/generate-label-prefix-rule.h" +#include "verible/verilog/analysis/checkers/generate-label-prefix-rule.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/seq-block.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/seq-block.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/generate-label-prefix-rule.h b/verible/verilog/analysis/checkers/generate-label-prefix-rule.h similarity index 84% rename from verilog/analysis/checkers/generate-label-prefix-rule.h rename to verible/verilog/analysis/checkers/generate-label-prefix-rule.h index fb782c74a..9090cf3f1 100644 --- a/verilog/analysis/checkers/generate-label-prefix-rule.h +++ b/verible/verilog/analysis/checkers/generate-label-prefix-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/generate-label-prefix-rule_test.cc b/verible/verilog/analysis/checkers/generate-label-prefix-rule_test.cc similarity index 95% rename from verilog/analysis/checkers/generate-label-prefix-rule_test.cc rename to verible/verilog/analysis/checkers/generate-label-prefix-rule_test.cc index 46029b93c..b46bfe46c 100644 --- a/verilog/analysis/checkers/generate-label-prefix-rule_test.cc +++ b/verible/verilog/analysis/checkers/generate-label-prefix-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/generate-label-prefix-rule.h" +#include "verible/verilog/analysis/checkers/generate-label-prefix-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/generate-label-rule.cc b/verible/verilog/analysis/checkers/generate-label-rule.cc similarity index 78% rename from verilog/analysis/checkers/generate-label-rule.cc rename to verible/verilog/analysis/checkers/generate-label-rule.cc index 29ec64469..d16a51467 100644 --- a/verilog/analysis/checkers/generate-label-rule.cc +++ b/verible/verilog/analysis/checkers/generate-label-rule.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/generate-label-rule.h" +#include "verible/verilog/analysis/checkers/generate-label-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/core-matchers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/core-matchers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/generate-label-rule.h b/verible/verilog/analysis/checkers/generate-label-rule.h similarity index 86% rename from verilog/analysis/checkers/generate-label-rule.h rename to verible/verilog/analysis/checkers/generate-label-rule.h index 9a9b14eb7..57622648a 100644 --- a/verilog/analysis/checkers/generate-label-rule.h +++ b/verible/verilog/analysis/checkers/generate-label-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/generate-label-rule_test.cc b/verible/verilog/analysis/checkers/generate-label-rule_test.cc similarity index 89% rename from verilog/analysis/checkers/generate-label-rule_test.cc rename to verible/verilog/analysis/checkers/generate-label-rule_test.cc index 87732b978..74cb4aae0 100644 --- a/verilog/analysis/checkers/generate-label-rule_test.cc +++ b/verible/verilog/analysis/checkers/generate-label-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/generate-label-rule.h" +#include "verible/verilog/analysis/checkers/generate-label-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/instance-shadow-rule.cc b/verible/verilog/analysis/checkers/instance-shadow-rule.cc similarity index 85% rename from verilog/analysis/checkers/instance-shadow-rule.cc rename to verible/verilog/analysis/checkers/instance-shadow-rule.cc index 200e0993a..0229bb1e4 100644 --- a/verilog/analysis/checkers/instance-shadow-rule.cc +++ b/verible/verilog/analysis/checkers/instance-shadow-rule.cc @@ -12,27 +12,27 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/instance-shadow-rule.h" +#include "verible/verilog/analysis/checkers/instance-shadow-rule.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/citation.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "common/util/iterator-adaptors.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/citation.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/instance-shadow-rule.h b/verible/verilog/analysis/checkers/instance-shadow-rule.h similarity index 87% rename from verilog/analysis/checkers/instance-shadow-rule.h rename to verible/verilog/analysis/checkers/instance-shadow-rule.h index 668471e19..81d289368 100644 --- a/verilog/analysis/checkers/instance-shadow-rule.h +++ b/verible/verilog/analysis/checkers/instance-shadow-rule.h @@ -18,11 +18,11 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/instance-shadow-rule_test.cc b/verible/verilog/analysis/checkers/instance-shadow-rule_test.cc similarity index 93% rename from verilog/analysis/checkers/instance-shadow-rule_test.cc rename to verible/verilog/analysis/checkers/instance-shadow-rule_test.cc index d40c0ea81..7f9df1e35 100644 --- a/verilog/analysis/checkers/instance-shadow-rule_test.cc +++ b/verible/verilog/analysis/checkers/instance-shadow-rule_test.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/instance-shadow-rule.h" +#include "verible/verilog/analysis/checkers/instance-shadow-rule.h" #include #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" -#include "common/analysis/syntax-tree-linter.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/interface-name-style-rule.cc b/verible/verilog/analysis/checkers/interface-name-style-rule.cc similarity index 83% rename from verilog/analysis/checkers/interface-name-style-rule.cc rename to verible/verilog/analysis/checkers/interface-name-style-rule.cc index c008eb58a..c0985fcb7 100644 --- a/verilog/analysis/checkers/interface-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/interface-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/interface-name-style-rule.h" +#include "verible/verilog/analysis/checkers/interface-name-style-rule.h" #include #include @@ -21,19 +21,19 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" #include "re2/re2.h" -#include "verilog/CST/module.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/interface-name-style-rule.h b/verible/verilog/analysis/checkers/interface-name-style-rule.h similarity index 87% rename from verilog/analysis/checkers/interface-name-style-rule.h rename to verible/verilog/analysis/checkers/interface-name-style-rule.h index 5537f1950..517ab28ee 100644 --- a/verilog/analysis/checkers/interface-name-style-rule.h +++ b/verible/verilog/analysis/checkers/interface-name-style-rule.h @@ -21,12 +21,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/interface-name-style-rule_test.cc b/verible/verilog/analysis/checkers/interface-name-style-rule_test.cc similarity index 93% rename from verilog/analysis/checkers/interface-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/interface-name-style-rule_test.cc index 78fe2237d..560106250 100644 --- a/verilog/analysis/checkers/interface-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/interface-name-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/interface-name-style-rule.h" +#include "verible/verilog/analysis/checkers/interface-name-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/legacy-generate-region-rule.cc b/verible/verilog/analysis/checkers/legacy-generate-region-rule.cc similarity index 75% rename from verilog/analysis/checkers/legacy-generate-region-rule.cc rename to verible/verilog/analysis/checkers/legacy-generate-region-rule.cc index c5446313e..e311d6681 100644 --- a/verilog/analysis/checkers/legacy-generate-region-rule.cc +++ b/verible/verilog/analysis/checkers/legacy-generate-region-rule.cc @@ -12,22 +12,22 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/legacy-generate-region-rule.h" +#include "verible/verilog/analysis/checkers/legacy-generate-region-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/matcher-builders.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/matcher-builders.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/legacy-generate-region-rule.h b/verible/verilog/analysis/checkers/legacy-generate-region-rule.h similarity index 84% rename from verilog/analysis/checkers/legacy-generate-region-rule.h rename to verible/verilog/analysis/checkers/legacy-generate-region-rule.h index db126f176..71a3efbf6 100644 --- a/verilog/analysis/checkers/legacy-generate-region-rule.h +++ b/verible/verilog/analysis/checkers/legacy-generate-region-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/legacy-generate-region-rule_test.cc b/verible/verilog/analysis/checkers/legacy-generate-region-rule_test.cc similarity index 84% rename from verilog/analysis/checkers/legacy-generate-region-rule_test.cc rename to verible/verilog/analysis/checkers/legacy-generate-region-rule_test.cc index e5b745c56..e57ff0410 100644 --- a/verilog/analysis/checkers/legacy-generate-region-rule_test.cc +++ b/verible/verilog/analysis/checkers/legacy-generate-region-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/legacy-generate-region-rule.h" +#include "verible/verilog/analysis/checkers/legacy-generate-region-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/legacy-genvar-declaration-rule.cc b/verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.cc similarity index 78% rename from verilog/analysis/checkers/legacy-genvar-declaration-rule.cc rename to verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.cc index 735ac9ef4..ba48a6f5b 100644 --- a/verilog/analysis/checkers/legacy-genvar-declaration-rule.cc +++ b/verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/legacy-genvar-declaration-rule.h" +#include "verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/legacy-genvar-declaration-rule.h b/verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.h similarity index 84% rename from verilog/analysis/checkers/legacy-genvar-declaration-rule.h rename to verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.h index 3d1ae17b0..385172340 100644 --- a/verilog/analysis/checkers/legacy-genvar-declaration-rule.h +++ b/verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/legacy-genvar-declaration-rule_test.cc b/verible/verilog/analysis/checkers/legacy-genvar-declaration-rule_test.cc similarity index 84% rename from verilog/analysis/checkers/legacy-genvar-declaration-rule_test.cc rename to verible/verilog/analysis/checkers/legacy-genvar-declaration-rule_test.cc index 494b6d7e7..c50c4fa59 100644 --- a/verilog/analysis/checkers/legacy-genvar-declaration-rule_test.cc +++ b/verible/verilog/analysis/checkers/legacy-genvar-declaration-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/legacy-genvar-declaration-rule.h" +#include "verible/verilog/analysis/checkers/legacy-genvar-declaration-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/line-length-rule.cc b/verible/verilog/analysis/checkers/line-length-rule.cc similarity index 89% rename from verilog/analysis/checkers/line-length-rule.cc rename to verible/verilog/analysis/checkers/line-length-rule.cc index 1c7d1f020..96b735b54 100644 --- a/verilog/analysis/checkers/line-length-rule.cc +++ b/verible/verilog/analysis/checkers/line-length-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/line-length-rule.h" +#include "verible/verilog/analysis/checkers/line-length-rule.h" #include #include @@ -22,20 +22,20 @@ #include "absl/strings/match.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/strings/comment-utils.h" -#include "common/strings/utf8.h" -#include "common/text/config-utils.h" -#include "common/text/constants.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/iterator-range.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/analysis/verilog-linter-constants.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/strings/comment-utils.h" +#include "verible/common/strings/utf8.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/iterator-range.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/verilog-linter-constants.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/line-length-rule.h b/verible/verilog/analysis/checkers/line-length-rule.h similarity index 89% rename from verilog/analysis/checkers/line-length-rule.h rename to verible/verilog/analysis/checkers/line-length-rule.h index d3f9eb51e..38d4796a3 100644 --- a/verilog/analysis/checkers/line-length-rule.h +++ b/verible/verilog/analysis/checkers/line-length-rule.h @@ -19,10 +19,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/line-length-rule_test.cc b/verible/verilog/analysis/checkers/line-length-rule_test.cc similarity index 95% rename from verilog/analysis/checkers/line-length-rule_test.cc rename to verible/verilog/analysis/checkers/line-length-rule_test.cc index a6cd79e0c..077434a75 100644 --- a/verilog/analysis/checkers/line-length-rule_test.cc +++ b/verible/verilog/analysis/checkers/line-length-rule_test.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/line-length-rule.h" +#include "verible/verilog/analysis/checkers/line-length-rule.h" #include #include #include "absl/status/status.h" #include "absl/strings/match.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/text-structure-linter-test-utils.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/text-structure-linter-test-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/macro-name-style-rule.cc b/verible/verilog/analysis/checkers/macro-name-style-rule.cc similarity index 91% rename from verilog/analysis/checkers/macro-name-style-rule.cc rename to verible/verilog/analysis/checkers/macro-name-style-rule.cc index e3b2999eb..36267a631 100644 --- a/verilog/analysis/checkers/macro-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/macro-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/macro-name-style-rule.h" +#include "verible/verilog/analysis/checkers/macro-name-style-rule.h" #include #include @@ -22,15 +22,15 @@ #include "absl/strings/match.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/config-utils.h" -#include "common/text/token-info.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/macro-name-style-rule.h b/verible/verilog/analysis/checkers/macro-name-style-rule.h similarity index 90% rename from verilog/analysis/checkers/macro-name-style-rule.h rename to verible/verilog/analysis/checkers/macro-name-style-rule.h index 4cb86738e..33e3724ba 100644 --- a/verilog/analysis/checkers/macro-name-style-rule.h +++ b/verible/verilog/analysis/checkers/macro-name-style-rule.h @@ -21,11 +21,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/macro-name-style-rule_test.cc b/verible/verilog/analysis/checkers/macro-name-style-rule_test.cc similarity index 90% rename from verilog/analysis/checkers/macro-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/macro-name-style-rule_test.cc index 38f86f695..484f4847f 100644 --- a/verilog/analysis/checkers/macro-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/macro-name-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/macro-name-style-rule.h" +#include "verible/verilog/analysis/checkers/macro-name-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/token-stream-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/token-stream-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/macro-string-concatenation-rule.cc b/verible/verilog/analysis/checkers/macro-string-concatenation-rule.cc similarity index 82% rename from verilog/analysis/checkers/macro-string-concatenation-rule.cc rename to verible/verilog/analysis/checkers/macro-string-concatenation-rule.cc index 1e32717ea..e773fc1b3 100644 --- a/verilog/analysis/checkers/macro-string-concatenation-rule.cc +++ b/verible/verilog/analysis/checkers/macro-string-concatenation-rule.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/macro-string-concatenation-rule.h" +#include "verible/verilog/analysis/checkers/macro-string-concatenation-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/token-info.h" -#include "common/util/value-saver.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/value-saver.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/macro-string-concatenation-rule.h b/verible/verilog/analysis/checkers/macro-string-concatenation-rule.h similarity index 88% rename from verilog/analysis/checkers/macro-string-concatenation-rule.h rename to verible/verilog/analysis/checkers/macro-string-concatenation-rule.h index e27fa7bc8..3f57da2f0 100644 --- a/verilog/analysis/checkers/macro-string-concatenation-rule.h +++ b/verible/verilog/analysis/checkers/macro-string-concatenation-rule.h @@ -17,10 +17,10 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/macro-string-concatenation-rule_test.cc b/verible/verilog/analysis/checkers/macro-string-concatenation-rule_test.cc similarity index 88% rename from verilog/analysis/checkers/macro-string-concatenation-rule_test.cc rename to verible/verilog/analysis/checkers/macro-string-concatenation-rule_test.cc index cdaec1f69..d29d0b56a 100644 --- a/verilog/analysis/checkers/macro-string-concatenation-rule_test.cc +++ b/verible/verilog/analysis/checkers/macro-string-concatenation-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/macro-string-concatenation-rule.h" +#include "verible/verilog/analysis/checkers/macro-string-concatenation-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/token-stream-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/token-stream-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/mismatched-labels-rule.cc b/verible/verilog/analysis/checkers/mismatched-labels-rule.cc similarity index 80% rename from verilog/analysis/checkers/mismatched-labels-rule.cc rename to verible/verilog/analysis/checkers/mismatched-labels-rule.cc index d4d63997d..6a553705f 100644 --- a/verilog/analysis/checkers/mismatched-labels-rule.cc +++ b/verible/verilog/analysis/checkers/mismatched-labels-rule.cc @@ -12,21 +12,21 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/mismatched-labels-rule.h" +#include "verible/verilog/analysis/checkers/mismatched-labels-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/seq-block.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/seq-block.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/mismatched-labels-rule.h b/verible/verilog/analysis/checkers/mismatched-labels-rule.h similarity index 81% rename from verilog/analysis/checkers/mismatched-labels-rule.h rename to verible/verilog/analysis/checkers/mismatched-labels-rule.h index 6eff6d053..49e04e18b 100644 --- a/verilog/analysis/checkers/mismatched-labels-rule.h +++ b/verible/verilog/analysis/checkers/mismatched-labels-rule.h @@ -17,12 +17,12 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/mismatched-labels-rule_test.cc b/verible/verilog/analysis/checkers/mismatched-labels-rule_test.cc similarity index 95% rename from verilog/analysis/checkers/mismatched-labels-rule_test.cc rename to verible/verilog/analysis/checkers/mismatched-labels-rule_test.cc index 6141d2cf2..086e74acb 100644 --- a/verilog/analysis/checkers/mismatched-labels-rule_test.cc +++ b/verible/verilog/analysis/checkers/mismatched-labels-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/mismatched-labels-rule.h" +#include "verible/verilog/analysis/checkers/mismatched-labels-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-begin-block-rule.cc b/verible/verilog/analysis/checkers/module-begin-block-rule.cc similarity index 79% rename from verilog/analysis/checkers/module-begin-block-rule.cc rename to verible/verilog/analysis/checkers/module-begin-block-rule.cc index 810fd4e94..b80d8c955 100644 --- a/verilog/analysis/checkers/module-begin-block-rule.cc +++ b/verible/verilog/analysis/checkers/module-begin-block-rule.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/module-begin-block-rule.h" +#include "verible/verilog/analysis/checkers/module-begin-block-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-begin-block-rule.h b/verible/verilog/analysis/checkers/module-begin-block-rule.h similarity index 85% rename from verilog/analysis/checkers/module-begin-block-rule.h rename to verible/verilog/analysis/checkers/module-begin-block-rule.h index 105c112a4..743cad04a 100644 --- a/verilog/analysis/checkers/module-begin-block-rule.h +++ b/verible/verilog/analysis/checkers/module-begin-block-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-begin-block-rule_test.cc b/verible/verilog/analysis/checkers/module-begin-block-rule_test.cc similarity index 85% rename from verilog/analysis/checkers/module-begin-block-rule_test.cc rename to verible/verilog/analysis/checkers/module-begin-block-rule_test.cc index 89bca4003..8161f93a0 100644 --- a/verilog/analysis/checkers/module-begin-block-rule_test.cc +++ b/verible/verilog/analysis/checkers/module-begin-block-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/module-begin-block-rule.h" +#include "verible/verilog/analysis/checkers/module-begin-block-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-filename-rule.cc b/verible/verilog/analysis/checkers/module-filename-rule.cc similarity index 88% rename from verilog/analysis/checkers/module-filename-rule.cc rename to verible/verilog/analysis/checkers/module-filename-rule.cc index bbc699883..9ff7124da 100644 --- a/verilog/analysis/checkers/module-filename-rule.cc +++ b/verible/verilog/analysis/checkers/module-filename-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/module-filename-rule.h" +#include "verible/verilog/analysis/checkers/module-filename-rule.h" #include #include @@ -25,19 +25,19 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "verilog/CST/module.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-filename-rule.h b/verible/verilog/analysis/checkers/module-filename-rule.h similarity index 88% rename from verilog/analysis/checkers/module-filename-rule.h rename to verible/verilog/analysis/checkers/module-filename-rule.h index 2b5a8032c..46ee6d953 100644 --- a/verilog/analysis/checkers/module-filename-rule.h +++ b/verible/verilog/analysis/checkers/module-filename-rule.h @@ -19,10 +19,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-filename-rule_test.cc b/verible/verilog/analysis/checkers/module-filename-rule_test.cc similarity index 97% rename from verilog/analysis/checkers/module-filename-rule_test.cc rename to verible/verilog/analysis/checkers/module-filename-rule_test.cc index 584ff67e2..0b8ed74e0 100644 --- a/verilog/analysis/checkers/module-filename-rule_test.cc +++ b/verible/verilog/analysis/checkers/module-filename-rule_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/module-filename-rule.h" +#include "verible/verilog/analysis/checkers/module-filename-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/text-structure-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/text-structure-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-instantiation-rules.cc b/verible/verilog/analysis/checkers/module-instantiation-rules.cc similarity index 88% rename from verilog/analysis/checkers/module-instantiation-rules.cc rename to verible/verilog/analysis/checkers/module-instantiation-rules.cc index c4d1df9fe..847173628 100644 --- a/verilog/analysis/checkers/module-instantiation-rules.cc +++ b/verible/verilog/analysis/checkers/module-instantiation-rules.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/module-instantiation-rules.h" +#include "verible/verilog/analysis/checkers/module-instantiation-rules.h" #include #include @@ -20,22 +20,22 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-instantiation-rules.h b/verible/verilog/analysis/checkers/module-instantiation-rules.h similarity index 87% rename from verilog/analysis/checkers/module-instantiation-rules.h rename to verible/verilog/analysis/checkers/module-instantiation-rules.h index 670585454..be4bfaaa1 100644 --- a/verilog/analysis/checkers/module-instantiation-rules.h +++ b/verible/verilog/analysis/checkers/module-instantiation-rules.h @@ -17,12 +17,12 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/module-instantiation-rules_test.cc b/verible/verilog/analysis/checkers/module-instantiation-rules_test.cc similarity index 94% rename from verilog/analysis/checkers/module-instantiation-rules_test.cc rename to verible/verilog/analysis/checkers/module-instantiation-rules_test.cc index 6c6924091..7de13f9a8 100644 --- a/verilog/analysis/checkers/module-instantiation-rules_test.cc +++ b/verible/verilog/analysis/checkers/module-instantiation-rules_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/module-instantiation-rules.h" +#include "verible/verilog/analysis/checkers/module-instantiation-rules.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/no-tabs-rule.cc b/verible/verilog/analysis/checkers/no-tabs-rule.cc similarity index 84% rename from verilog/analysis/checkers/no-tabs-rule.cc rename to verible/verilog/analysis/checkers/no-tabs-rule.cc index fcb2b03fd..0d552748e 100644 --- a/verilog/analysis/checkers/no-tabs-rule.cc +++ b/verible/verilog/analysis/checkers/no-tabs-rule.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/no-tabs-rule.h" +#include "verible/verilog/analysis/checkers/no-tabs-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/no-tabs-rule.h b/verible/verilog/analysis/checkers/no-tabs-rule.h similarity index 89% rename from verilog/analysis/checkers/no-tabs-rule.h rename to verible/verilog/analysis/checkers/no-tabs-rule.h index 094aaa1a5..ca9653651 100644 --- a/verilog/analysis/checkers/no-tabs-rule.h +++ b/verible/verilog/analysis/checkers/no-tabs-rule.h @@ -18,9 +18,9 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/no-tabs-rule_test.cc b/verible/verilog/analysis/checkers/no-tabs-rule_test.cc similarity index 86% rename from verilog/analysis/checkers/no-tabs-rule_test.cc rename to verible/verilog/analysis/checkers/no-tabs-rule_test.cc index ad03b9169..cb17d3794 100644 --- a/verilog/analysis/checkers/no-tabs-rule_test.cc +++ b/verible/verilog/analysis/checkers/no-tabs-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/no-tabs-rule.h" +#include "verible/verilog/analysis/checkers/no-tabs-rule.h" #include -#include "common/analysis/line-linter-test-utils.h" -#include "common/analysis/linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/line-linter-test-utils.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/no-trailing-spaces-rule.cc b/verible/verilog/analysis/checkers/no-trailing-spaces-rule.cc similarity index 87% rename from verilog/analysis/checkers/no-trailing-spaces-rule.cc rename to verible/verilog/analysis/checkers/no-trailing-spaces-rule.cc index 2d99ecfc0..c093315c7 100644 --- a/verilog/analysis/checkers/no-trailing-spaces-rule.cc +++ b/verible/verilog/analysis/checkers/no-trailing-spaces-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/no-trailing-spaces-rule.h" +#include "verible/verilog/analysis/checkers/no-trailing-spaces-rule.h" #include #include @@ -22,11 +22,11 @@ #include "absl/strings/string_view.h" #include "absl/strings/strip.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/no-trailing-spaces-rule.h b/verible/verilog/analysis/checkers/no-trailing-spaces-rule.h similarity index 90% rename from verilog/analysis/checkers/no-trailing-spaces-rule.h rename to verible/verilog/analysis/checkers/no-trailing-spaces-rule.h index 2f11c5449..d16b63f1a 100644 --- a/verilog/analysis/checkers/no-trailing-spaces-rule.h +++ b/verible/verilog/analysis/checkers/no-trailing-spaces-rule.h @@ -18,9 +18,9 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/no-trailing-spaces-rule_test.cc b/verible/verilog/analysis/checkers/no-trailing-spaces-rule_test.cc similarity index 89% rename from verilog/analysis/checkers/no-trailing-spaces-rule_test.cc rename to verible/verilog/analysis/checkers/no-trailing-spaces-rule_test.cc index bdbabc0ab..4d5f5f652 100644 --- a/verilog/analysis/checkers/no-trailing-spaces-rule_test.cc +++ b/verible/verilog/analysis/checkers/no-trailing-spaces-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/no-trailing-spaces-rule.h" +#include "verible/verilog/analysis/checkers/no-trailing-spaces-rule.h" #include -#include "common/analysis/line-linter-test-utils.h" -#include "common/analysis/linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/line-linter-test-utils.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/numeric-format-string-style-rule.cc b/verible/verilog/analysis/checkers/numeric-format-string-style-rule.cc similarity index 89% rename from verilog/analysis/checkers/numeric-format-string-style-rule.cc rename to verible/verilog/analysis/checkers/numeric-format-string-style-rule.cc index eb0c64c43..08eccc690 100644 --- a/verilog/analysis/checkers/numeric-format-string-style-rule.cc +++ b/verible/verilog/analysis/checkers/numeric-format-string-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/numeric-format-string-style-rule.h" +#include "verible/verilog/analysis/checkers/numeric-format-string-style-rule.h" #include #include @@ -21,14 +21,14 @@ #include "absl/strings/ascii.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/numeric-format-string-style-rule.h b/verible/verilog/analysis/checkers/numeric-format-string-style-rule.h similarity index 89% rename from verilog/analysis/checkers/numeric-format-string-style-rule.h rename to verible/verilog/analysis/checkers/numeric-format-string-style-rule.h index 0ff2f43b3..fe8feaad6 100644 --- a/verilog/analysis/checkers/numeric-format-string-style-rule.h +++ b/verible/verilog/analysis/checkers/numeric-format-string-style-rule.h @@ -19,10 +19,10 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/numeric-format-string-style-rule_test.cc b/verible/verilog/analysis/checkers/numeric-format-string-style-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/numeric-format-string-style-rule_test.cc rename to verible/verilog/analysis/checkers/numeric-format-string-style-rule_test.cc index 7beac440d..93b7ed459 100644 --- a/verilog/analysis/checkers/numeric-format-string-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/numeric-format-string-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/numeric-format-string-style-rule.h" +#include "verible/verilog/analysis/checkers/numeric-format-string-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/token-stream-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/token-stream-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/one-module-per-file-rule.cc b/verible/verilog/analysis/checkers/one-module-per-file-rule.cc similarity index 85% rename from verilog/analysis/checkers/one-module-per-file-rule.cc rename to verible/verilog/analysis/checkers/one-module-per-file-rule.cc index 7311cb9dd..b29161a48 100644 --- a/verilog/analysis/checkers/one-module-per-file-rule.cc +++ b/verible/verilog/analysis/checkers/one-module-per-file-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/one-module-per-file-rule.h" +#include "verible/verilog/analysis/checkers/one-module-per-file-rule.h" #include #include @@ -22,14 +22,14 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/text-structure.h" -#include "common/util/logging.h" -#include "verilog/CST/module.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/one-module-per-file-rule.h b/verible/verilog/analysis/checkers/one-module-per-file-rule.h similarity index 87% rename from verilog/analysis/checkers/one-module-per-file-rule.h rename to verible/verilog/analysis/checkers/one-module-per-file-rule.h index f8e12fd5d..7ec5590bd 100644 --- a/verilog/analysis/checkers/one-module-per-file-rule.h +++ b/verible/verilog/analysis/checkers/one-module-per-file-rule.h @@ -18,10 +18,10 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/one-module-per-file-rule_test.cc b/verible/verilog/analysis/checkers/one-module-per-file-rule_test.cc similarity index 90% rename from verilog/analysis/checkers/one-module-per-file-rule_test.cc rename to verible/verilog/analysis/checkers/one-module-per-file-rule_test.cc index da6a97a9b..ad95014e0 100644 --- a/verilog/analysis/checkers/one-module-per-file-rule_test.cc +++ b/verible/verilog/analysis/checkers/one-module-per-file-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/one-module-per-file-rule.h" +#include "verible/verilog/analysis/checkers/one-module-per-file-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/text-structure-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/text-structure-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/package-filename-rule.cc b/verible/verilog/analysis/checkers/package-filename-rule.cc similarity index 89% rename from verilog/analysis/checkers/package-filename-rule.cc rename to verible/verilog/analysis/checkers/package-filename-rule.cc index a37d06ac4..78b9b1f2c 100644 --- a/verilog/analysis/checkers/package-filename-rule.cc +++ b/verible/verilog/analysis/checkers/package-filename-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/package-filename-rule.h" +#include "verible/verilog/analysis/checkers/package-filename-rule.h" #include #include @@ -24,15 +24,15 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/config-utils.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/file-util.h" -#include "verilog/CST/package.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/file-util.h" +#include "verible/verilog/CST/package.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/package-filename-rule.h b/verible/verilog/analysis/checkers/package-filename-rule.h similarity index 88% rename from verilog/analysis/checkers/package-filename-rule.h rename to verible/verilog/analysis/checkers/package-filename-rule.h index c6288f91a..48f1c0060 100644 --- a/verilog/analysis/checkers/package-filename-rule.h +++ b/verible/verilog/analysis/checkers/package-filename-rule.h @@ -19,10 +19,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/package-filename-rule_test.cc b/verible/verilog/analysis/checkers/package-filename-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/package-filename-rule_test.cc rename to verible/verilog/analysis/checkers/package-filename-rule_test.cc index b8babb7cc..b3d06ef70 100644 --- a/verilog/analysis/checkers/package-filename-rule_test.cc +++ b/verible/verilog/analysis/checkers/package-filename-rule_test.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/package-filename-rule.h" +#include "verible/verilog/analysis/checkers/package-filename-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/text-structure-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/text-structure-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/packed-dimensions-rule.cc b/verible/verilog/analysis/checkers/packed-dimensions-rule.cc similarity index 77% rename from verilog/analysis/checkers/packed-dimensions-rule.cc rename to verible/verilog/analysis/checkers/packed-dimensions-rule.cc index 953adfdb8..8ec0ddb9e 100644 --- a/verilog/analysis/checkers/packed-dimensions-rule.cc +++ b/verible/verilog/analysis/checkers/packed-dimensions-rule.cc @@ -12,26 +12,26 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/packed-dimensions-rule.h" +#include "verible/verilog/analysis/checkers/packed-dimensions-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/dimensions.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/dimensions.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/packed-dimensions-rule.h b/verible/verilog/analysis/checkers/packed-dimensions-rule.h similarity index 84% rename from verilog/analysis/checkers/packed-dimensions-rule.h rename to verible/verilog/analysis/checkers/packed-dimensions-rule.h index ae8419776..82ce5c831 100644 --- a/verilog/analysis/checkers/packed-dimensions-rule.h +++ b/verible/verilog/analysis/checkers/packed-dimensions-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/packed-dimensions-rule_test.cc b/verible/verilog/analysis/checkers/packed-dimensions-rule_test.cc similarity index 90% rename from verilog/analysis/checkers/packed-dimensions-rule_test.cc rename to verible/verilog/analysis/checkers/packed-dimensions-rule_test.cc index f50449461..f532ea5db 100644 --- a/verilog/analysis/checkers/packed-dimensions-rule_test.cc +++ b/verible/verilog/analysis/checkers/packed-dimensions-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/packed-dimensions-rule.h" +#include "verible/verilog/analysis/checkers/packed-dimensions-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/parameter-name-style-rule.cc b/verible/verilog/analysis/checkers/parameter-name-style-rule.cc similarity index 92% rename from verilog/analysis/checkers/parameter-name-style-rule.cc rename to verible/verilog/analysis/checkers/parameter-name-style-rule.cc index c8d347704..4c8f4498b 100644 --- a/verilog/analysis/checkers/parameter-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/parameter-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/parameter-name-style-rule.h" +#include "verible/verilog/analysis/checkers/parameter-name-style-rule.h" #include #include @@ -23,19 +23,19 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" #include "re2/re2.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/parameter-name-style-rule.h b/verible/verilog/analysis/checkers/parameter-name-style-rule.h similarity index 90% rename from verilog/analysis/checkers/parameter-name-style-rule.h rename to verible/verilog/analysis/checkers/parameter-name-style-rule.h index 963b58b8e..001d2317f 100644 --- a/verilog/analysis/checkers/parameter-name-style-rule.h +++ b/verible/verilog/analysis/checkers/parameter-name-style-rule.h @@ -22,12 +22,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/parameter-name-style-rule_test.cc b/verible/verilog/analysis/checkers/parameter-name-style-rule_test.cc similarity index 98% rename from verilog/analysis/checkers/parameter-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/parameter-name-style-rule_test.cc index 38f972a0f..1086af87e 100644 --- a/verilog/analysis/checkers/parameter-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/parameter-name-style-rule_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/parameter-name-style-rule.h" +#include "verible/verilog/analysis/checkers/parameter-name-style-rule.h" #include #include @@ -20,11 +20,11 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/parameter-type-name-style-rule.cc b/verible/verilog/analysis/checkers/parameter-type-name-style-rule.cc similarity index 78% rename from verilog/analysis/checkers/parameter-type-name-style-rule.cc rename to verible/verilog/analysis/checkers/parameter-type-name-style-rule.cc index 59588c200..d0e36681a 100644 --- a/verilog/analysis/checkers/parameter-type-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/parameter-type-name-style-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/parameter-type-name-style-rule.h" +#include "verible/verilog/analysis/checkers/parameter-type-name-style-rule.h" #include #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/strings/naming-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/strings/naming-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/parameter-type-name-style-rule.h b/verible/verilog/analysis/checkers/parameter-type-name-style-rule.h similarity index 85% rename from verilog/analysis/checkers/parameter-type-name-style-rule.h rename to verible/verilog/analysis/checkers/parameter-type-name-style-rule.h index 0bbcbb07d..e56a601d0 100644 --- a/verilog/analysis/checkers/parameter-type-name-style-rule.h +++ b/verible/verilog/analysis/checkers/parameter-type-name-style-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/parameter-type-name-style-rule_test.cc b/verible/verilog/analysis/checkers/parameter-type-name-style-rule_test.cc similarity index 92% rename from verilog/analysis/checkers/parameter-type-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/parameter-type-name-style-rule_test.cc index 9af336d26..141679b2a 100644 --- a/verilog/analysis/checkers/parameter-type-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/parameter-type-name-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/parameter-type-name-style-rule.h" +#include "verible/verilog/analysis/checkers/parameter-type-name-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/plusarg-assignment-rule.cc b/verible/verilog/analysis/checkers/plusarg-assignment-rule.cc similarity index 80% rename from verilog/analysis/checkers/plusarg-assignment-rule.cc rename to verible/verilog/analysis/checkers/plusarg-assignment-rule.cc index ca8233739..c80a374df 100644 --- a/verilog/analysis/checkers/plusarg-assignment-rule.cc +++ b/verible/verilog/analysis/checkers/plusarg-assignment-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/plusarg-assignment-rule.h" +#include "verible/verilog/analysis/checkers/plusarg-assignment-rule.h" #include #include #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/plusarg-assignment-rule.h b/verible/verilog/analysis/checkers/plusarg-assignment-rule.h similarity index 87% rename from verilog/analysis/checkers/plusarg-assignment-rule.h rename to verible/verilog/analysis/checkers/plusarg-assignment-rule.h index 31eadcfb9..be70fb678 100644 --- a/verilog/analysis/checkers/plusarg-assignment-rule.h +++ b/verible/verilog/analysis/checkers/plusarg-assignment-rule.h @@ -18,11 +18,11 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/plusarg-assignment-rule_test.cc b/verible/verilog/analysis/checkers/plusarg-assignment-rule_test.cc similarity index 82% rename from verilog/analysis/checkers/plusarg-assignment-rule_test.cc rename to verible/verilog/analysis/checkers/plusarg-assignment-rule_test.cc index e496facc3..f3228458e 100644 --- a/verilog/analysis/checkers/plusarg-assignment-rule_test.cc +++ b/verible/verilog/analysis/checkers/plusarg-assignment-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/plusarg-assignment-rule.h" +#include "verible/verilog/analysis/checkers/plusarg-assignment-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/port-name-suffix-rule.cc b/verible/verilog/analysis/checkers/port-name-suffix-rule.cc similarity index 87% rename from verilog/analysis/checkers/port-name-suffix-rule.cc rename to verible/verilog/analysis/checkers/port-name-suffix-rule.cc index a19e5939a..f96e5dcac 100644 --- a/verilog/analysis/checkers/port-name-suffix-rule.cc +++ b/verible/verilog/analysis/checkers/port-name-suffix-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/port-name-suffix-rule.h" +#include "verible/verilog/analysis/checkers/port-name-suffix-rule.h" #include #include @@ -21,17 +21,17 @@ #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" -#include "verilog/CST/port.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/port-name-suffix-rule.h b/verible/verilog/analysis/checkers/port-name-suffix-rule.h similarity index 85% rename from verilog/analysis/checkers/port-name-suffix-rule.h rename to verible/verilog/analysis/checkers/port-name-suffix-rule.h index 9c4dfabfc..7a28d13bd 100644 --- a/verilog/analysis/checkers/port-name-suffix-rule.h +++ b/verible/verilog/analysis/checkers/port-name-suffix-rule.h @@ -18,12 +18,12 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/port-name-suffix-rule_test.cc b/verible/verilog/analysis/checkers/port-name-suffix-rule_test.cc similarity index 95% rename from verilog/analysis/checkers/port-name-suffix-rule_test.cc rename to verible/verilog/analysis/checkers/port-name-suffix-rule_test.cc index 517dd81de..69f18f41b 100644 --- a/verilog/analysis/checkers/port-name-suffix-rule_test.cc +++ b/verible/verilog/analysis/checkers/port-name-suffix-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/port-name-suffix-rule.h" +#include "verible/verilog/analysis/checkers/port-name-suffix-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/positive-meaning-parameter-name-rule.cc b/verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.cc similarity index 80% rename from verilog/analysis/checkers/positive-meaning-parameter-name-rule.cc rename to verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.cc index fe44e6ad8..d843779c0 100644 --- a/verilog/analysis/checkers/positive-meaning-parameter-name-rule.cc +++ b/verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/positive-meaning-parameter-name-rule.h" +#include "verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h" #include #include "absl/strings/match.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h b/verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h similarity index 86% rename from verilog/analysis/checkers/positive-meaning-parameter-name-rule.h rename to verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h index d9eca11de..82b920cf0 100644 --- a/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h +++ b/verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/positive-meaning-parameter-name-rule_test.cc b/verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/positive-meaning-parameter-name-rule_test.cc rename to verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule_test.cc index 36fa1c6b5..db0466e2d 100644 --- a/verilog/analysis/checkers/positive-meaning-parameter-name-rule_test.cc +++ b/verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/positive-meaning-parameter-name-rule.h" +#include "verible/verilog/analysis/checkers/positive-meaning-parameter-name-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/posix-eof-rule.cc b/verible/verilog/analysis/checkers/posix-eof-rule.cc similarity index 83% rename from verilog/analysis/checkers/posix-eof-rule.cc rename to verible/verilog/analysis/checkers/posix-eof-rule.cc index aaa30bfc0..94dbe9cca 100644 --- a/verilog/analysis/checkers/posix-eof-rule.cc +++ b/verible/verilog/analysis/checkers/posix-eof-rule.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/posix-eof-rule.h" +#include "verible/verilog/analysis/checkers/posix-eof-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/posix-eof-rule.h b/verible/verilog/analysis/checkers/posix-eof-rule.h similarity index 89% rename from verilog/analysis/checkers/posix-eof-rule.h rename to verible/verilog/analysis/checkers/posix-eof-rule.h index 991d17c68..343e9db53 100644 --- a/verilog/analysis/checkers/posix-eof-rule.h +++ b/verible/verilog/analysis/checkers/posix-eof-rule.h @@ -18,10 +18,10 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/posix-eof-rule_test.cc b/verible/verilog/analysis/checkers/posix-eof-rule_test.cc similarity index 85% rename from verilog/analysis/checkers/posix-eof-rule_test.cc rename to verible/verilog/analysis/checkers/posix-eof-rule_test.cc index ef955f6ed..8fadf2157 100644 --- a/verilog/analysis/checkers/posix-eof-rule_test.cc +++ b/verible/verilog/analysis/checkers/posix-eof-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/posix-eof-rule.h" +#include "verible/verilog/analysis/checkers/posix-eof-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/text-structure-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/text-structure-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/proper-parameter-declaration-rule.cc b/verible/verilog/analysis/checkers/proper-parameter-declaration-rule.cc similarity index 89% rename from verilog/analysis/checkers/proper-parameter-declaration-rule.cc rename to verible/verilog/analysis/checkers/proper-parameter-declaration-rule.cc index 328c83472..db2f4b54e 100644 --- a/verilog/analysis/checkers/proper-parameter-declaration-rule.cc +++ b/verible/verilog/analysis/checkers/proper-parameter-declaration-rule.cc @@ -12,25 +12,25 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/proper-parameter-declaration-rule.h" +#include "verible/verilog/analysis/checkers/proper-parameter-declaration-rule.h" #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/proper-parameter-declaration-rule.h b/verible/verilog/analysis/checkers/proper-parameter-declaration-rule.h similarity index 88% rename from verilog/analysis/checkers/proper-parameter-declaration-rule.h rename to verible/verilog/analysis/checkers/proper-parameter-declaration-rule.h index fea170d17..810bb1830 100644 --- a/verilog/analysis/checkers/proper-parameter-declaration-rule.h +++ b/verible/verilog/analysis/checkers/proper-parameter-declaration-rule.h @@ -19,11 +19,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/proper-parameter-declaration-rule_test.cc b/verible/verilog/analysis/checkers/proper-parameter-declaration-rule_test.cc similarity index 97% rename from verilog/analysis/checkers/proper-parameter-declaration-rule_test.cc rename to verible/verilog/analysis/checkers/proper-parameter-declaration-rule_test.cc index cc2ebbb55..8d7eb0772 100644 --- a/verilog/analysis/checkers/proper-parameter-declaration-rule_test.cc +++ b/verible/verilog/analysis/checkers/proper-parameter-declaration-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/proper-parameter-declaration-rule.h" +#include "verible/verilog/analysis/checkers/proper-parameter-declaration-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/signal-name-style-rule.cc b/verible/verilog/analysis/checkers/signal-name-style-rule.cc similarity index 84% rename from verilog/analysis/checkers/signal-name-style-rule.cc rename to verible/verilog/analysis/checkers/signal-name-style-rule.cc index 292dba76c..8f1f8a9af 100644 --- a/verilog/analysis/checkers/signal-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/signal-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/signal-name-style-rule.h" +#include "verible/verilog/analysis/checkers/signal-name-style-rule.h" #include #include @@ -21,22 +21,22 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" #include "re2/re2.h" -#include "verilog/CST/data.h" -#include "verilog/CST/net.h" -#include "verilog/CST/port.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/data.h" +#include "verible/verilog/CST/net.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/signal-name-style-rule.h b/verible/verilog/analysis/checkers/signal-name-style-rule.h similarity index 87% rename from verilog/analysis/checkers/signal-name-style-rule.h rename to verible/verilog/analysis/checkers/signal-name-style-rule.h index 18a86e91c..2e047ce1e 100644 --- a/verilog/analysis/checkers/signal-name-style-rule.h +++ b/verible/verilog/analysis/checkers/signal-name-style-rule.h @@ -21,12 +21,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" #include "re2/re2.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/signal-name-style-rule_test.cc b/verible/verilog/analysis/checkers/signal-name-style-rule_test.cc similarity index 95% rename from verilog/analysis/checkers/signal-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/signal-name-style-rule_test.cc index cd4e39392..94b11d332 100644 --- a/verilog/analysis/checkers/signal-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/signal-name-style-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/signal-name-style-rule.h" +#include "verible/verilog/analysis/checkers/signal-name-style-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/struct-union-name-style-rule.cc b/verible/verilog/analysis/checkers/struct-union-name-style-rule.cc similarity index 89% rename from verilog/analysis/checkers/struct-union-name-style-rule.cc rename to verible/verilog/analysis/checkers/struct-union-name-style-rule.cc index ae08e48b7..c18c7d3b3 100644 --- a/verilog/analysis/checkers/struct-union-name-style-rule.cc +++ b/verible/verilog/analysis/checkers/struct-union-name-style-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/struct-union-name-style-rule.h" +#include "verible/verilog/analysis/checkers/struct-union-name-style-rule.h" #include #include @@ -24,17 +24,17 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/util/logging.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/struct-union-name-style-rule.h b/verible/verilog/analysis/checkers/struct-union-name-style-rule.h similarity index 86% rename from verilog/analysis/checkers/struct-union-name-style-rule.h rename to verible/verilog/analysis/checkers/struct-union-name-style-rule.h index d16bf80dc..864fda721 100644 --- a/verilog/analysis/checkers/struct-union-name-style-rule.h +++ b/verible/verilog/analysis/checkers/struct-union-name-style-rule.h @@ -20,11 +20,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/struct-union-name-style-rule_test.cc b/verible/verilog/analysis/checkers/struct-union-name-style-rule_test.cc similarity index 97% rename from verilog/analysis/checkers/struct-union-name-style-rule_test.cc rename to verible/verilog/analysis/checkers/struct-union-name-style-rule_test.cc index e98177c4b..2f0b8f9ed 100644 --- a/verilog/analysis/checkers/struct-union-name-style-rule_test.cc +++ b/verible/verilog/analysis/checkers/struct-union-name-style-rule_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/struct-union-name-style-rule.h" +#include "verible/verilog/analysis/checkers/struct-union-name-style-rule.h" #include #include "absl/status/status.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/suggest-parentheses-rule.cc b/verible/verilog/analysis/checkers/suggest-parentheses-rule.cc similarity index 80% rename from verilog/analysis/checkers/suggest-parentheses-rule.cc rename to verible/verilog/analysis/checkers/suggest-parentheses-rule.cc index 7ac75f13f..d88d2e1c3 100644 --- a/verilog/analysis/checkers/suggest-parentheses-rule.cc +++ b/verible/verilog/analysis/checkers/suggest-parentheses-rule.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/suggest-parentheses-rule.h" +#include "verible/verilog/analysis/checkers/suggest-parentheses-rule.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/suggest-parentheses-rule.h b/verible/verilog/analysis/checkers/suggest-parentheses-rule.h similarity index 84% rename from verilog/analysis/checkers/suggest-parentheses-rule.h rename to verible/verilog/analysis/checkers/suggest-parentheses-rule.h index c8cabd28e..a4f57d13e 100644 --- a/verilog/analysis/checkers/suggest-parentheses-rule.h +++ b/verible/verilog/analysis/checkers/suggest-parentheses-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/suggest-parentheses-rule_test.cc b/verible/verilog/analysis/checkers/suggest-parentheses-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/suggest-parentheses-rule_test.cc rename to verible/verilog/analysis/checkers/suggest-parentheses-rule_test.cc index 8ee2084a8..f642b2177 100644 --- a/verilog/analysis/checkers/suggest-parentheses-rule_test.cc +++ b/verible/verilog/analysis/checkers/suggest-parentheses-rule_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/suggest-parentheses-rule.h" +#include "verible/verilog/analysis/checkers/suggest-parentheses-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/suspicious-semicolon-rule.cc b/verible/verilog/analysis/checkers/suspicious-semicolon-rule.cc similarity index 80% rename from verilog/analysis/checkers/suspicious-semicolon-rule.cc rename to verible/verilog/analysis/checkers/suspicious-semicolon-rule.cc index c2a1968db..c05925825 100644 --- a/verilog/analysis/checkers/suspicious-semicolon-rule.cc +++ b/verible/verilog/analysis/checkers/suspicious-semicolon-rule.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/suspicious-semicolon-rule.h" +#include "verible/verilog/analysis/checkers/suspicious-semicolon-rule.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/suspicious-semicolon-rule.h b/verible/verilog/analysis/checkers/suspicious-semicolon-rule.h similarity index 87% rename from verilog/analysis/checkers/suspicious-semicolon-rule.h rename to verible/verilog/analysis/checkers/suspicious-semicolon-rule.h index 8c24e5801..36a563a8a 100644 --- a/verilog/analysis/checkers/suspicious-semicolon-rule.h +++ b/verible/verilog/analysis/checkers/suspicious-semicolon-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/suspicious-semicolon-rule_test.cc b/verible/verilog/analysis/checkers/suspicious-semicolon-rule_test.cc similarity index 92% rename from verilog/analysis/checkers/suspicious-semicolon-rule_test.cc rename to verible/verilog/analysis/checkers/suspicious-semicolon-rule_test.cc index bf2b46756..6921cad8a 100644 --- a/verilog/analysis/checkers/suspicious-semicolon-rule_test.cc +++ b/verible/verilog/analysis/checkers/suspicious-semicolon-rule_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/suspicious-semicolon-rule.h" +#include "verible/verilog/analysis/checkers/suspicious-semicolon-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/truncated-numeric-literal-rule.cc b/verible/verilog/analysis/checkers/truncated-numeric-literal-rule.cc similarity index 89% rename from verilog/analysis/checkers/truncated-numeric-literal-rule.cc rename to verible/verilog/analysis/checkers/truncated-numeric-literal-rule.cc index cc575ea2f..4ae52365b 100644 --- a/verilog/analysis/checkers/truncated-numeric-literal-rule.cc +++ b/verible/verilog/analysis/checkers/truncated-numeric-literal-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/truncated-numeric-literal-rule.h" +#include "verible/verilog/analysis/checkers/truncated-numeric-literal-rule.h" #include #include @@ -25,18 +25,18 @@ #include "absl/strings/numbers.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/numbers.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/numbers.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/truncated-numeric-literal-rule.h b/verible/verilog/analysis/checkers/truncated-numeric-literal-rule.h similarity index 85% rename from verilog/analysis/checkers/truncated-numeric-literal-rule.h rename to verible/verilog/analysis/checkers/truncated-numeric-literal-rule.h index dbed2a69d..41f8e1d6b 100644 --- a/verilog/analysis/checkers/truncated-numeric-literal-rule.h +++ b/verible/verilog/analysis/checkers/truncated-numeric-literal-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/truncated-numeric-literal-rule_test.cc b/verible/verilog/analysis/checkers/truncated-numeric-literal-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/truncated-numeric-literal-rule_test.cc rename to verible/verilog/analysis/checkers/truncated-numeric-literal-rule_test.cc index 7a181acb6..54d43e3e7 100644 --- a/verilog/analysis/checkers/truncated-numeric-literal-rule_test.cc +++ b/verible/verilog/analysis/checkers/truncated-numeric-literal-rule_test.cc @@ -12,18 +12,18 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/truncated-numeric-literal-rule.h" +#include "verible/verilog/analysis/checkers/truncated-numeric-literal-rule.h" #include #include #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/undersized-binary-literal-rule.cc b/verible/verilog/analysis/checkers/undersized-binary-literal-rule.cc similarity index 89% rename from verilog/analysis/checkers/undersized-binary-literal-rule.cc rename to verible/verilog/analysis/checkers/undersized-binary-literal-rule.cc index 3b88f7e15..f0f4b5986 100644 --- a/verilog/analysis/checkers/undersized-binary-literal-rule.cc +++ b/verible/verilog/analysis/checkers/undersized-binary-literal-rule.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/undersized-binary-literal-rule.h" +#include "verible/verilog/analysis/checkers/undersized-binary-literal-rule.h" #include #include @@ -24,20 +24,20 @@ #include "absl/strings/numbers.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/config-utils.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" -#include "verilog/CST/numbers.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/config-utils.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/numbers.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/undersized-binary-literal-rule.h b/verible/verilog/analysis/checkers/undersized-binary-literal-rule.h similarity index 89% rename from verilog/analysis/checkers/undersized-binary-literal-rule.h rename to verible/verilog/analysis/checkers/undersized-binary-literal-rule.h index b3f66cff1..b74aa6b9c 100644 --- a/verilog/analysis/checkers/undersized-binary-literal-rule.h +++ b/verible/verilog/analysis/checkers/undersized-binary-literal-rule.h @@ -20,11 +20,11 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/undersized-binary-literal-rule_test.cc b/verible/verilog/analysis/checkers/undersized-binary-literal-rule_test.cc similarity index 96% rename from verilog/analysis/checkers/undersized-binary-literal-rule_test.cc rename to verible/verilog/analysis/checkers/undersized-binary-literal-rule_test.cc index fb9506d7c..42f0a1289 100644 --- a/verilog/analysis/checkers/undersized-binary-literal-rule_test.cc +++ b/verible/verilog/analysis/checkers/undersized-binary-literal-rule_test.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/undersized-binary-literal-rule.h" +#include "verible/verilog/analysis/checkers/undersized-binary-literal-rule.h" #include #include "absl/status/status.h" -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/unpacked-dimensions-rule.cc b/verible/verilog/analysis/checkers/unpacked-dimensions-rule.cc similarity index 80% rename from verilog/analysis/checkers/unpacked-dimensions-rule.cc rename to verible/verilog/analysis/checkers/unpacked-dimensions-rule.cc index 25e1d71e6..45c07a99c 100644 --- a/verilog/analysis/checkers/unpacked-dimensions-rule.cc +++ b/verible/verilog/analysis/checkers/unpacked-dimensions-rule.cc @@ -12,27 +12,27 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/unpacked-dimensions-rule.h" +#include "verible/verilog/analysis/checkers/unpacked-dimensions-rule.h" #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/dimensions.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/dimensions.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/unpacked-dimensions-rule.h b/verible/verilog/analysis/checkers/unpacked-dimensions-rule.h similarity index 85% rename from verilog/analysis/checkers/unpacked-dimensions-rule.h rename to verible/verilog/analysis/checkers/unpacked-dimensions-rule.h index defe4ee14..f971ff0a4 100644 --- a/verilog/analysis/checkers/unpacked-dimensions-rule.h +++ b/verible/verilog/analysis/checkers/unpacked-dimensions-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/unpacked-dimensions-rule_test.cc b/verible/verilog/analysis/checkers/unpacked-dimensions-rule_test.cc similarity index 94% rename from verilog/analysis/checkers/unpacked-dimensions-rule_test.cc rename to verible/verilog/analysis/checkers/unpacked-dimensions-rule_test.cc index 48109c5ce..7138fc3dd 100644 --- a/verilog/analysis/checkers/unpacked-dimensions-rule_test.cc +++ b/verible/verilog/analysis/checkers/unpacked-dimensions-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/unpacked-dimensions-rule.h" +#include "verible/verilog/analysis/checkers/unpacked-dimensions-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/uvm-macro-semicolon-rule.cc b/verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.cc similarity index 84% rename from verilog/analysis/checkers/uvm-macro-semicolon-rule.cc rename to verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.cc index 5a2c8f8dc..c4903f8fa 100644 --- a/verilog/analysis/checkers/uvm-macro-semicolon-rule.cc +++ b/verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/uvm-macro-semicolon-rule.h" +#include "verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.h" #include #include "absl/strings/match.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/macro.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/macro.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/uvm-macro-semicolon-rule.h b/verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.h similarity index 86% rename from verilog/analysis/checkers/uvm-macro-semicolon-rule.h rename to verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.h index e40ff3beb..f6a8b1591 100644 --- a/verilog/analysis/checkers/uvm-macro-semicolon-rule.h +++ b/verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.h @@ -17,12 +17,12 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/uvm-macro-semicolon-rule_test.cc b/verible/verilog/analysis/checkers/uvm-macro-semicolon-rule_test.cc similarity index 97% rename from verilog/analysis/checkers/uvm-macro-semicolon-rule_test.cc rename to verible/verilog/analysis/checkers/uvm-macro-semicolon-rule_test.cc index c7ce508db..fd8d9fb40 100644 --- a/verilog/analysis/checkers/uvm-macro-semicolon-rule_test.cc +++ b/verible/verilog/analysis/checkers/uvm-macro-semicolon-rule_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/uvm-macro-semicolon-rule.h" +#include "verible/verilog/analysis/checkers/uvm-macro-semicolon-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/v2001-generate-begin-rule.cc b/verible/verilog/analysis/checkers/v2001-generate-begin-rule.cc similarity index 76% rename from verilog/analysis/checkers/v2001-generate-begin-rule.cc rename to verible/verilog/analysis/checkers/v2001-generate-begin-rule.cc index b2059fea7..7654320ab 100644 --- a/verilog/analysis/checkers/v2001-generate-begin-rule.cc +++ b/verible/verilog/analysis/checkers/v2001-generate-begin-rule.cc @@ -12,23 +12,23 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/v2001-generate-begin-rule.h" +#include "verible/verilog/analysis/checkers/v2001-generate-begin-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/tree-utils.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/tree-utils.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/v2001-generate-begin-rule.h b/verible/verilog/analysis/checkers/v2001-generate-begin-rule.h similarity index 86% rename from verilog/analysis/checkers/v2001-generate-begin-rule.h rename to verible/verilog/analysis/checkers/v2001-generate-begin-rule.h index 0e6836395..5a35d6e2b 100644 --- a/verilog/analysis/checkers/v2001-generate-begin-rule.h +++ b/verible/verilog/analysis/checkers/v2001-generate-begin-rule.h @@ -17,11 +17,11 @@ #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/v2001-generate-begin-rule_test.cc b/verible/verilog/analysis/checkers/v2001-generate-begin-rule_test.cc similarity index 87% rename from verilog/analysis/checkers/v2001-generate-begin-rule_test.cc rename to verible/verilog/analysis/checkers/v2001-generate-begin-rule_test.cc index f76931417..a9cb94a51 100644 --- a/verilog/analysis/checkers/v2001-generate-begin-rule_test.cc +++ b/verible/verilog/analysis/checkers/v2001-generate-begin-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/v2001-generate-begin-rule.h" +#include "verible/verilog/analysis/checkers/v2001-generate-begin-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/void-cast-rule.cc b/verible/verilog/analysis/checkers/void-cast-rule.cc similarity index 84% rename from verilog/analysis/checkers/void-cast-rule.cc rename to verible/verilog/analysis/checkers/void-cast-rule.cc index 2a33605a4..a7b61a59f 100644 --- a/verilog/analysis/checkers/void-cast-rule.cc +++ b/verible/verilog/analysis/checkers/void-cast-rule.cc @@ -12,26 +12,26 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/void-cast-rule.h" +#include "verible/verilog/analysis/checkers/void-cast-rule.h" #include #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/matcher/bound-symbol-manager.h" -#include "common/analysis/matcher/core-matchers.h" -#include "common/analysis/matcher/matcher.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/matcher/bound-symbol-manager.h" +#include "verible/common/analysis/matcher/core-matchers.h" +#include "verible/common/analysis/matcher/matcher.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/void-cast-rule.h b/verible/verilog/analysis/checkers/void-cast-rule.h similarity index 83% rename from verilog/analysis/checkers/void-cast-rule.h rename to verible/verilog/analysis/checkers/void-cast-rule.h index 4e0817c9c..4f1f415af 100644 --- a/verilog/analysis/checkers/void-cast-rule.h +++ b/verible/verilog/analysis/checkers/void-cast-rule.h @@ -18,12 +18,12 @@ #include #include -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/checkers/void-cast-rule_test.cc b/verible/verilog/analysis/checkers/void-cast-rule_test.cc similarity index 90% rename from verilog/analysis/checkers/void-cast-rule_test.cc rename to verible/verilog/analysis/checkers/void-cast-rule_test.cc index 7ea32dc56..0f743c523 100644 --- a/verilog/analysis/checkers/void-cast-rule_test.cc +++ b/verible/verilog/analysis/checkers/void-cast-rule_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/checkers/void-cast-rule.h" +#include "verible/verilog/analysis/checkers/void-cast-rule.h" #include -#include "common/analysis/linter-test-utils.h" -#include "common/analysis/syntax-tree-linter-test-utils.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/linter-test-utils.h" +#include "verible/common/analysis/syntax-tree-linter-test-utils.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/default-rules.h b/verible/verilog/analysis/default-rules.h similarity index 100% rename from verilog/analysis/default-rules.h rename to verible/verilog/analysis/default-rules.h diff --git a/verilog/analysis/default-rules_test.cc b/verible/verilog/analysis/default-rules_test.cc similarity index 90% rename from verilog/analysis/default-rules_test.cc rename to verible/verilog/analysis/default-rules_test.cc index eb9d61ec6..b8ef106c1 100644 --- a/verilog/analysis/default-rules_test.cc +++ b/verible/verilog/analysis/default-rules_test.cc @@ -12,10 +12,10 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/default-rules.h" +#include "verible/verilog/analysis/default-rules.h" #include "gtest/gtest.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/dependencies.cc b/verible/verilog/analysis/dependencies.cc similarity index 95% rename from verilog/analysis/dependencies.cc rename to verible/verilog/analysis/dependencies.cc index 0ac1ccf8b..ddd046e15 100644 --- a/verilog/analysis/dependencies.cc +++ b/verible/verilog/analysis/dependencies.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/dependencies.h" +#include "verible/verilog/analysis/dependencies.h" #include #include #include "absl/strings/string_view.h" -#include "common/strings/display-utils.h" -#include "common/util/logging.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/analysis/verilog-project.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/verilog-project.h" namespace verilog { diff --git a/verilog/analysis/dependencies.h b/verible/verilog/analysis/dependencies.h similarity index 96% rename from verilog/analysis/dependencies.h rename to verible/verilog/analysis/dependencies.h index bddd7abc2..02616e66c 100644 --- a/verilog/analysis/dependencies.h +++ b/verible/verilog/analysis/dependencies.h @@ -21,9 +21,9 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/analysis/verilog-project.h" +#include "verible/common/strings/compare.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/verilog-project.h" namespace verilog { diff --git a/verilog/analysis/dependencies_test.cc b/verible/verilog/analysis/dependencies_test.cc similarity index 98% rename from verilog/analysis/dependencies_test.cc rename to verible/verilog/analysis/dependencies_test.cc index 6c9b63de2..a9a8fd1ef 100644 --- a/verilog/analysis/dependencies_test.cc +++ b/verible/verilog/analysis/dependencies_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/dependencies.h" +#include "verible/verilog/analysis/dependencies.h" #include #include @@ -20,12 +20,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/analysis/verilog-project.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/verilog-project.h" namespace verilog { namespace { diff --git a/verilog/analysis/descriptions.h b/verible/verilog/analysis/descriptions.h similarity index 100% rename from verilog/analysis/descriptions.h rename to verible/verilog/analysis/descriptions.h diff --git a/verilog/analysis/extractors.cc b/verible/verilog/analysis/extractors.cc similarity index 86% rename from verilog/analysis/extractors.cc rename to verible/verilog/analysis/extractors.cc index 0e9edf57f..08b4c38d5 100644 --- a/verilog/analysis/extractors.cc +++ b/verible/verilog/analysis/extractors.cc @@ -12,19 +12,19 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/extractors.h" +#include "verible/verilog/analysis/extractors.h" #include #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/module.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/extractors.h b/verible/verilog/analysis/extractors.h similarity index 95% rename from verilog/analysis/extractors.h rename to verible/verilog/analysis/extractors.h index 9236e0abd..f12812bda 100644 --- a/verilog/analysis/extractors.h +++ b/verible/verilog/analysis/extractors.h @@ -24,7 +24,7 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/extractors_test.cc b/verible/verilog/analysis/extractors_test.cc similarity index 96% rename from verilog/analysis/extractors_test.cc rename to verible/verilog/analysis/extractors_test.cc index 2f5d4250c..f1bc7b566 100644 --- a/verilog/analysis/extractors_test.cc +++ b/verible/verilog/analysis/extractors_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/extractors.h" +#include "verible/verilog/analysis/extractors.h" #include #include @@ -20,7 +20,7 @@ #include "absl/strings/string_view.h" #include "gtest/gtest.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/analysis/flow-tree.cc b/verible/verilog/analysis/flow-tree.cc similarity index 98% rename from verilog/analysis/flow-tree.cc rename to verible/verilog/analysis/flow-tree.cc index 01c2a685c..60cb7bc5e 100644 --- a/verilog/analysis/flow-tree.cc +++ b/verible/verilog/analysis/flow-tree.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/flow-tree.h" +#include "verible/verilog/analysis/flow-tree.h" #include #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/analysis/flow-tree.h b/verible/verilog/analysis/flow-tree.h similarity index 99% rename from verilog/analysis/flow-tree.h rename to verible/verilog/analysis/flow-tree.h index e0c1a461b..49223ba3e 100644 --- a/verilog/analysis/flow-tree.h +++ b/verible/verilog/analysis/flow-tree.h @@ -23,7 +23,7 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/text/token-stream-view.h" +#include "verible/common/text/token-stream-view.h" namespace verilog { diff --git a/verilog/analysis/flow-tree_test.cc b/verible/verilog/analysis/flow-tree_test.cc similarity index 98% rename from verilog/analysis/flow-tree_test.cc rename to verible/verilog/analysis/flow-tree_test.cc index 6b5fc0be1..4c43b6dc1 100644 --- a/verilog/analysis/flow-tree_test.cc +++ b/verible/verilog/analysis/flow-tree_test.cc @@ -12,16 +12,16 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/flow-tree.h" +#include "verible/verilog/analysis/flow-tree.h" #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/text/token-stream-view.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/parser/verilog-lexer.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/verilog/parser/verilog-lexer.h" namespace verilog { namespace { diff --git a/verilog/analysis/json-diagnostics.cc b/verible/verilog/analysis/json-diagnostics.cc similarity index 92% rename from verilog/analysis/json-diagnostics.cc rename to verible/verilog/analysis/json-diagnostics.cc index f690bd690..c137b8db9 100644 --- a/verilog/analysis/json-diagnostics.cc +++ b/verible/verilog/analysis/json-diagnostics.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/json-diagnostics.h" +#include "verible/verilog/analysis/json-diagnostics.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/analysis/file-analyzer.h" -#include "common/strings/line-column-map.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/file-analyzer.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/verilog/analysis/verilog-analyzer.h" using nlohmann::json; using verible::AnalysisPhase; diff --git a/verilog/analysis/json-diagnostics.h b/verible/verilog/analysis/json-diagnostics.h similarity index 95% rename from verilog/analysis/json-diagnostics.h rename to verible/verilog/analysis/json-diagnostics.h index b78617b98..2fd70c822 100644 --- a/verilog/analysis/json-diagnostics.h +++ b/verible/verilog/analysis/json-diagnostics.h @@ -18,7 +18,7 @@ #include #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { diff --git a/verilog/analysis/json-diagnostics_test.cc b/verible/verilog/analysis/json-diagnostics_test.cc similarity index 93% rename from verilog/analysis/json-diagnostics_test.cc rename to verible/verilog/analysis/json-diagnostics_test.cc index 67a0556d2..8f62e44ed 100644 --- a/verilog/analysis/json-diagnostics_test.cc +++ b/verible/verilog/analysis/json-diagnostics_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/json-diagnostics.h" +#include "verible/verilog/analysis/json-diagnostics.h" #include #include -#include "common/util/logging.h" #include "gtest/gtest.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace { diff --git a/verilog/analysis/lint-rule-registry.cc b/verible/verilog/analysis/lint-rule-registry.cc similarity index 94% rename from verilog/analysis/lint-rule-registry.cc rename to verible/verilog/analysis/lint-rule-registry.cc index c7b62743c..13ef74562 100644 --- a/verilog/analysis/lint-rule-registry.cc +++ b/verible/verilog/analysis/lint-rule-registry.cc @@ -12,20 +12,20 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/lint-rule-registry.h" #include #include #include #include "absl/container/node_hash_map.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/util/container-util.h" -#include "common/util/logging.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/lint-rule-registry.h b/verible/verilog/analysis/lint-rule-registry.h similarity index 94% rename from verilog/analysis/lint-rule-registry.h rename to verible/verilog/analysis/lint-rule-registry.h index 3fb318efe..8f818fd33 100644 --- a/verilog/analysis/lint-rule-registry.h +++ b/verible/verilog/analysis/lint-rule-registry.h @@ -34,12 +34,12 @@ #include #include -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/strings/compare.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/strings/compare.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/lint-rule-registry_test.cc b/verible/verilog/analysis/lint-rule-registry_test.cc similarity index 92% rename from verilog/analysis/lint-rule-registry_test.cc rename to verible/verilog/analysis/lint-rule-registry_test.cc index 095eb2cd2..543edd9c5 100644 --- a/verilog/analysis/lint-rule-registry_test.cc +++ b/verible/verilog/analysis/lint-rule-registry_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/lint-rule-registry.h" #include #include @@ -21,18 +21,18 @@ #include "absl/status/status.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { namespace analysis { diff --git a/verilog/analysis/symbol-table.cc b/verible/verilog/analysis/symbol-table.cc similarity index 98% rename from verilog/analysis/symbol-table.cc rename to verible/verilog/analysis/symbol-table.cc index bfa6e0ae4..fcaad2570 100644 --- a/verilog/analysis/symbol-table.cc +++ b/verible/verilog/analysis/symbol-table.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/symbol-table.h" #include #include @@ -33,37 +33,37 @@ #include "absl/strings/strip.h" #include "absl/time/clock.h" #include "absl/time/time.h" -#include "common/strings/display-utils.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/text/tree-compare.h" -#include "common/text/tree-context-visitor.h" -#include "common/text/tree-utils.h" -#include "common/text/visitors.h" -#include "common/util/casts.h" -#include "common/util/enum-flags.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/tree-operations.h" -#include "common/util/value-saver.h" -#include "verilog/CST/class.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/functions.h" -#include "verilog/CST/macro.h" -#include "verilog/CST/module.h" -#include "verilog/CST/net.h" -#include "verilog/CST/package.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/port.h" -#include "verilog/CST/seq-block.h" -#include "verilog/CST/statement.h" -#include "verilog/CST/tasks.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-compare.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/value-saver.h" +#include "verible/verilog/CST/class.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/functions.h" +#include "verible/verilog/CST/macro.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/net.h" +#include "verible/verilog/CST/package.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/seq-block.h" +#include "verible/verilog/CST/statement.h" +#include "verible/verilog/CST/tasks.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/analysis/symbol-table.h b/verible/verilog/analysis/symbol-table.h similarity index 99% rename from verilog/analysis/symbol-table.h rename to verible/verilog/analysis/symbol-table.h index 0359d1cba..df750d0c2 100644 --- a/verilog/analysis/symbol-table.h +++ b/verible/verilog/analysis/symbol-table.h @@ -28,11 +28,11 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/strings/compare.h" -#include "common/text/symbol.h" -#include "common/util/map-tree.h" -#include "common/util/vector-tree.h" -#include "verilog/analysis/verilog-project.h" +#include "verible/common/strings/compare.h" +#include "verible/common/text/symbol.h" +#include "verible/common/util/map-tree.h" +#include "verible/common/util/vector-tree.h" +#include "verible/verilog/analysis/verilog-project.h" namespace verilog { diff --git a/verilog/analysis/symbol-table_test.cc b/verible/verilog/analysis/symbol-table_test.cc similarity index 99% rename from verilog/analysis/symbol-table_test.cc rename to verible/verilog/analysis/symbol-table_test.cc index d08164952..e8f7e1f47 100644 --- a/verilog/analysis/symbol-table_test.cc +++ b/verible/verilog/analysis/symbol-table_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/symbol-table.h" #include #include @@ -25,15 +25,15 @@ #include "absl/base/attributes.h" #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/text/tree-utils.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/tree-operations.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/analysis/verilog-project.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/tree-operations.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-project.h" namespace verilog { diff --git a/verilog/analysis/verilog-analyzer.cc b/verible/verilog/analysis/verilog-analyzer.cc similarity index 93% rename from verilog/analysis/verilog-analyzer.cc rename to verible/verilog/analysis/verilog-analyzer.cc index 5c124e1d8..95a638746 100644 --- a/verilog/analysis/verilog-analyzer.cc +++ b/verible/verilog/analysis/verilog-analyzer.cc @@ -15,7 +15,7 @@ // VerilogAnalyzer implementation (an example) // Other related analyzers can follow the same structure. -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #include #include @@ -26,27 +26,27 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/file-analyzer.h" -#include "common/lexer/token-stream-adapter.h" -#include "common/strings/comment-utils.h" -#include "common/strings/mem-block.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/visitors.h" -#include "common/util/container-util.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "verilog/analysis/verilog-excerpt-parse.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-lexical-context.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/common/analysis/file-analyzer.h" +#include "verible/common/lexer/token-stream-adapter.h" +#include "verible/common/strings/comment-utils.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/visitors.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/verilog/analysis/verilog-excerpt-parse.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-lexical-context.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { diff --git a/verilog/analysis/verilog-analyzer.h b/verible/verilog/analysis/verilog-analyzer.h similarity index 95% rename from verilog/analysis/verilog-analyzer.h rename to verible/verilog/analysis/verilog-analyzer.h index 43219d4ce..f64d04a60 100644 --- a/verilog/analysis/verilog-analyzer.h +++ b/verible/verilog/analysis/verilog-analyzer.h @@ -21,10 +21,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/analysis/file-analyzer.h" -#include "common/strings/mem-block.h" -#include "common/text/token-stream-view.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/common/analysis/file-analyzer.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { diff --git a/verilog/analysis/verilog-analyzer_test.cc b/verible/verilog/analysis/verilog-analyzer_test.cc similarity index 98% rename from verilog/analysis/verilog-analyzer_test.cc rename to verible/verilog/analysis/verilog-analyzer_test.cc index 80fd2c346..cf8bf5c7a 100644 --- a/verilog/analysis/verilog-analyzer_test.cc +++ b/verible/verilog/analysis/verilog-analyzer_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-analyzer.h" #include #include @@ -22,21 +22,21 @@ #include "absl/status/status.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/file-analyzer.h" -#include "common/strings/display-utils.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info-test-util.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-excerpt-parse.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/file-analyzer.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-excerpt-parse.h" +#include "verible/verilog/parser/verilog-token-enum.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/analysis/verilog-equivalence.cc b/verible/verilog/analysis/verilog-equivalence.cc similarity index 95% rename from verilog/analysis/verilog-equivalence.cc rename to verible/verilog/analysis/verilog-equivalence.cc index 9604bb1dd..67d9a5053 100644 --- a/verilog/analysis/verilog-equivalence.cc +++ b/verible/verilog/analysis/verilog-equivalence.cc @@ -15,7 +15,7 @@ // VerilogAnalyzer implementation (an example) // Other related analyzers can follow the same structure. -#include "verilog/analysis/verilog-equivalence.h" +#include "verible/verilog/analysis/verilog-equivalence.h" #include #include @@ -24,15 +24,15 @@ #include #include "absl/strings/string_view.h" -#include "common/lexer/token-stream-adapter.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/enum-flags.h" -#include "common/util/logging.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-parser.h" // for verilog_symbol_name() -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/lexer/token-stream-adapter.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-parser.h" // for verilog_symbol_name() +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/analysis/verilog-equivalence.h b/verible/verilog/analysis/verilog-equivalence.h similarity index 97% rename from verilog/analysis/verilog-equivalence.h rename to verible/verilog/analysis/verilog-equivalence.h index 10b36430a..70e2418e4 100644 --- a/verilog/analysis/verilog-equivalence.h +++ b/verible/verilog/analysis/verilog-equivalence.h @@ -19,8 +19,8 @@ #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" namespace verilog { diff --git a/verilog/analysis/verilog-equivalence_test.cc b/verible/verilog/analysis/verilog-equivalence_test.cc similarity index 99% rename from verilog/analysis/verilog-equivalence_test.cc rename to verible/verilog/analysis/verilog-equivalence_test.cc index dd66d3add..10ad3e7d4 100644 --- a/verilog/analysis/verilog-equivalence_test.cc +++ b/verible/verilog/analysis/verilog-equivalence_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-equivalence.h" +#include "verible/verilog/analysis/verilog-equivalence.h" #include #include diff --git a/verilog/analysis/verilog-excerpt-parse.cc b/verible/verilog/analysis/verilog-excerpt-parse.cc similarity index 96% rename from verilog/analysis/verilog-excerpt-parse.cc rename to verible/verilog/analysis/verilog-excerpt-parse.cc index 95ae586ec..6a7951302 100644 --- a/verilog/analysis/verilog-excerpt-parse.cc +++ b/verible/verilog/analysis/verilog-excerpt-parse.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-excerpt-parse.h" +#include "verible/verilog/analysis/verilog-excerpt-parse.h" #include #include @@ -23,10 +23,10 @@ #include "absl/strings/ascii.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/text-structure.h" -#include "common/util/container-util.h" -#include "common/util/logging.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" // TODO(hzeller): All these are constructing strings with prefix and postfix. // And often, these constructs are used in fallback situations in which we diff --git a/verilog/analysis/verilog-excerpt-parse.h b/verible/verilog/analysis/verilog-excerpt-parse.h similarity index 96% rename from verilog/analysis/verilog-excerpt-parse.h rename to verible/verilog/analysis/verilog-excerpt-parse.h index 3ddc72242..d9d4c64a6 100644 --- a/verilog/analysis/verilog-excerpt-parse.h +++ b/verible/verilog/analysis/verilog-excerpt-parse.h @@ -23,8 +23,8 @@ #include #include "absl/strings/string_view.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { diff --git a/verilog/analysis/verilog-filelist.cc b/verible/verilog/analysis/verilog-filelist.cc similarity index 97% rename from verilog/analysis/verilog-filelist.cc rename to verible/verilog/analysis/verilog-filelist.cc index 1d785e217..dd5a665ee 100644 --- a/verilog/analysis/verilog-filelist.cc +++ b/verible/verilog/analysis/verilog-filelist.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-filelist.h" #include #include @@ -27,8 +27,8 @@ #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" #include "absl/strings/strip.h" -#include "common/util/file-util.h" -#include "common/util/iterator-range.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/iterator-range.h" namespace verilog { absl::Status AppendFileListFromContent(absl::string_view file_list_path, diff --git a/verilog/analysis/verilog-filelist.h b/verible/verilog/analysis/verilog-filelist.h similarity index 100% rename from verilog/analysis/verilog-filelist.h rename to verible/verilog/analysis/verilog-filelist.h diff --git a/verilog/analysis/verilog-filelist_test.cc b/verible/verilog/analysis/verilog-filelist_test.cc similarity index 97% rename from verilog/analysis/verilog-filelist_test.cc rename to verible/verilog/analysis/verilog-filelist_test.cc index 93a2f7bf4..3a8c4a29b 100644 --- a/verilog/analysis/verilog-filelist_test.cc +++ b/verible/verilog/analysis/verilog-filelist_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-filelist.h" #include #include #include "absl/strings/string_view.h" -#include "common/util/file-util.h" #include "gmock/gmock.h" #include "gtest/gtest.h" +#include "verible/common/util/file-util.h" namespace verilog { namespace { diff --git a/verilog/analysis/verilog-linter-configuration.cc b/verible/verilog/analysis/verilog-linter-configuration.cc similarity index 95% rename from verilog/analysis/verilog-linter-configuration.cc rename to verible/verilog/analysis/verilog-linter-configuration.cc index ac0d35059..ff121eb75 100644 --- a/verilog/analysis/verilog-linter-configuration.cc +++ b/verible/verilog/analysis/verilog-linter-configuration.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-linter-configuration.h" +#include "verible/verilog/analysis/verilog-linter-configuration.h" #include #include @@ -32,18 +32,18 @@ #include "absl/strings/str_join.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/util/container-util.h" -#include "common/util/enum-flags.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "verilog/analysis/default-rules.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/verilog/analysis/default-rules.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" namespace verilog { diff --git a/verilog/analysis/verilog-linter-configuration.h b/verible/verilog/analysis/verilog-linter-configuration.h similarity index 97% rename from verilog/analysis/verilog-linter-configuration.h rename to verible/verilog/analysis/verilog-linter-configuration.h index 8a98f6809..014e0e02f 100644 --- a/verilog/analysis/verilog-linter-configuration.h +++ b/verible/verilog/analysis/verilog-linter-configuration.h @@ -25,11 +25,11 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "verilog/analysis/descriptions.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/verilog/analysis/descriptions.h" namespace verilog { diff --git a/verilog/analysis/verilog-linter-configuration_test.cc b/verible/verilog/analysis/verilog-linter-configuration_test.cc similarity index 97% rename from verilog/analysis/verilog-linter-configuration_test.cc rename to verible/verilog/analysis/verilog-linter-configuration_test.cc index f2a6225f0..cfaf24736 100644 --- a/verilog/analysis/verilog-linter-configuration_test.cc +++ b/verible/verilog/analysis/verilog-linter-configuration_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-linter-configuration.h" +#include "verible/verilog/analysis/verilog-linter-configuration.h" #include #include @@ -23,24 +23,24 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/line-lint-rule.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/syntax-tree-lint-rule.h" -#include "common/analysis/text-structure-lint-rule.h" -#include "common/analysis/token-stream-lint-rule.h" -#include "common/strings/line-column-map.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-builder-test-util.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/default-rules.h" -#include "verilog/analysis/descriptions.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/analysis/verilog-linter.h" +#include "verible/common/analysis/line-lint-rule.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/syntax-tree-lint-rule.h" +#include "verible/common/analysis/text-structure-lint-rule.h" +#include "verible/common/analysis/token-stream-lint-rule.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-builder-test-util.h" +#include "verible/verilog/analysis/default-rules.h" +#include "verible/verilog/analysis/descriptions.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/verilog-linter.h" namespace verilog { namespace { diff --git a/verilog/analysis/verilog-linter-constants.h b/verible/verilog/analysis/verilog-linter-constants.h similarity index 100% rename from verilog/analysis/verilog-linter-constants.h rename to verible/verilog/analysis/verilog-linter-constants.h diff --git a/verilog/analysis/verilog-linter.cc b/verible/verilog/analysis/verilog-linter.cc similarity index 92% rename from verilog/analysis/verilog-linter.cc rename to verible/verilog/analysis/verilog-linter.cc index 96c56d4d4..84d4431e1 100644 --- a/verilog/analysis/verilog-linter.cc +++ b/verible/verilog/analysis/verilog-linter.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-linter.h" +#include "verible/verilog/analysis/verilog-linter.h" #include #include @@ -32,28 +32,28 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/analysis/citation.h" -#include "common/analysis/line-linter.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/lint-waiver.h" -#include "common/analysis/syntax-tree-linter.h" -#include "common/analysis/text-structure-linter.h" -#include "common/analysis/token-stream-linter.h" -#include "common/analysis/violation-handler.h" -#include "common/strings/line-column-map.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "verilog/analysis/default-rules.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-linter-configuration.h" -#include "verilog/analysis/verilog-linter-constants.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/analysis/citation.h" +#include "verible/common/analysis/line-linter.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/lint-waiver.h" +#include "verible/common/analysis/syntax-tree-linter.h" +#include "verible/common/analysis/text-structure-linter.h" +#include "verible/common/analysis/token-stream-linter.h" +#include "verible/common/analysis/violation-handler.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/verilog/analysis/default-rules.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-linter-configuration.h" +#include "verible/verilog/analysis/verilog-linter-constants.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" // TODO(hzeller): make --rules repeatable and cumulative diff --git a/verilog/analysis/verilog-linter.h b/verible/verilog/analysis/verilog-linter.h similarity index 90% rename from verilog/analysis/verilog-linter.h rename to verible/verilog/analysis/verilog-linter.h index 84a8cef61..72c300fec 100644 --- a/verilog/analysis/verilog-linter.h +++ b/verible/verilog/analysis/verilog-linter.h @@ -23,17 +23,17 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/analysis/line-linter.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/lint-waiver.h" -#include "common/analysis/syntax-tree-linter.h" -#include "common/analysis/text-structure-linter.h" -#include "common/analysis/token-stream-linter.h" -#include "common/analysis/violation-handler.h" -#include "common/strings/line-column-map.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/lint-rule-registry.h" -#include "verilog/analysis/verilog-linter-configuration.h" +#include "verible/common/analysis/line-linter.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/lint-waiver.h" +#include "verible/common/analysis/syntax-tree-linter.h" +#include "verible/common/analysis/text-structure-linter.h" +#include "verible/common/analysis/token-stream-linter.h" +#include "verible/common/analysis/violation-handler.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/lint-rule-registry.h" +#include "verible/verilog/analysis/verilog-linter-configuration.h" // Flag is declared for testing purposes (used e.g. in // verilog/tools/ls/verilog-language-server_test.cc) diff --git a/verilog/analysis/verilog-linter_test.cc b/verible/verilog/analysis/verilog-linter_test.cc similarity index 98% rename from verilog/analysis/verilog-linter_test.cc rename to verible/verilog/analysis/verilog-linter_test.cc index 7ca8d77f6..b99f5063e 100644 --- a/verilog/analysis/verilog-linter_test.cc +++ b/verible/verilog/analysis/verilog-linter_test.cc @@ -17,7 +17,7 @@ // Tests for individual lint rules can be found in // verilog/analysis/checkers/. -#include "verilog/analysis/verilog-linter.h" +#include "verible/verilog/analysis/verilog-linter.h" #include #include @@ -38,15 +38,15 @@ #include "absl/strings/ascii.h" #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/violation-handler.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/default-rules.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-linter-configuration.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/violation-handler.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/default-rules.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-linter-configuration.h" namespace verilog { namespace { diff --git a/verilog/analysis/verilog-project.cc b/verible/verilog/analysis/verilog-project.cc similarity index 97% rename from verilog/analysis/verilog-project.cc rename to verible/verilog/analysis/verilog-project.cc index 4621d536e..77c2ce404 100644 --- a/verilog/analysis/verilog-project.cc +++ b/verible/verilog/analysis/verilog-project.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-project.h" +#include "verible/verilog/analysis/verilog-project.h" #include #include @@ -28,11 +28,11 @@ #include "absl/time/clock.h" #include "absl/time/time.h" #include "absl/types/optional.h" -#include "common/strings/mem-block.h" -#include "common/text/text-structure.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { diff --git a/verilog/analysis/verilog-project.h b/verible/verilog/analysis/verilog-project.h similarity index 98% rename from verilog/analysis/verilog-project.h rename to verible/verilog/analysis/verilog-project.h index 9f47d6baf..992b4ce4c 100644 --- a/verilog/analysis/verilog-project.h +++ b/verible/verilog/analysis/verilog-project.h @@ -28,10 +28,10 @@ #include "absl/status/statusor.h" #include "absl/strings/string_view.h" #include "absl/types/optional.h" -#include "common/strings/mem-block.h" -#include "common/strings/string-memory-map.h" -#include "common/text/text-structure.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/strings/string-memory-map.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { diff --git a/verilog/analysis/verilog-project_test.cc b/verible/verilog/analysis/verilog-project_test.cc similarity index 98% rename from verilog/analysis/verilog-project_test.cc rename to verible/verilog/analysis/verilog-project_test.cc index 4deff34a4..e7208ee9f 100644 --- a/verilog/analysis/verilog-project_test.cc +++ b/verible/verilog/analysis/verilog-project_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/analysis/verilog-project.h" +#include "verible/verilog/analysis/verilog-project.h" #include #include @@ -23,13 +23,13 @@ #include "absl/strings/match.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/text-structure.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "common/util/range.h" #include "gtest/gtest.h" -#include "verilog/CST/module.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace { diff --git a/verible/verilog/formatting/BUILD b/verible/verilog/formatting/BUILD new file mode 100644 index 000000000..47423f557 --- /dev/null +++ b/verible/verilog/formatting/BUILD @@ -0,0 +1,328 @@ +# This package SystemVerilog-specific code formatting functions. + +default_visibility = [ + "//verible/verilog/tools/formatter:__pkg__", + "//verible/verilog/tools/ls:__pkg__", +] + +package( + default_applicable_licenses = ["//:license"], + default_visibility = default_visibility, + features = ["layering_check"], +) + +# libraries + +cc_library( + name = "align", + srcs = ["align.cc"], + hdrs = ["align.h"], + deps = [ + ":format-style", + "//verible/common/formatting:align", + "//verible/common/formatting:format-token", + "//verible/common/formatting:token-partition-tree", + "//verible/common/formatting:unwrapped-line", + "//verible/common/strings:position", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-context-visitor", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/common/util:value-saver", + "//verible/verilog/CST:context-functions", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_library( + name = "tree-unwrapper", + srcs = ["tree-unwrapper.cc"], + hdrs = ["tree-unwrapper.h"], + deps = [ + ":format-style", + ":verilog-token", + "//verible/common/formatting:format-token", + "//verible/common/formatting:token-partition-tree", + "//verible/common/formatting:tree-unwrapper", + "//verible/common/formatting:unwrapped-line", + "//verible/common/strings:display-utils", + "//verible/common/strings:range", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:constants", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/text:tree-utils", + "//verible/common/util:container-iterator-range", + "//verible/common/util:enum-flags", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:macro", + "//verible/verilog/CST:statement", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/parser:verilog-parser", # only for verilog_symbol_name + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/base:core_headers", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "tree-unwrapper_test", + srcs = ["tree-unwrapper_test.cc"], + deps = [ + ":format-style", + ":tree-unwrapper", + "//verible/common/formatting:format-token", + "//verible/common/formatting:token-partition-tree", + "//verible/common/formatting:unwrapped-line", + "//verible/common/text:text-structure", + "//verible/common/util:container-iterator-range", + "//verible/common/util:logging", + "//verible/common/util:spacer", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "verilog-token", + srcs = ["verilog-token.cc"], + hdrs = ["verilog-token.h"], + deps = [ + "//verible/common/util:container-util", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/container:node_hash_map", + ], +) + +cc_test( + name = "verilog-token_test", + srcs = ["verilog-token_test.cc"], + deps = [ + ":verilog-token", + "//verible/common/formatting:format-token", + "//verible/common/text:token-info", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "formatter", + srcs = ["formatter.cc"], + hdrs = [ + "formatter.h", + ], + deps = [ + ":align", + ":comment-controls", + ":format-style", + ":token-annotator", + ":tree-unwrapper", + "//verible/common/formatting:format-token", + "//verible/common/formatting:layout-optimizer", + "//verible/common/formatting:line-wrap-searcher", + "//verible/common/formatting:token-partition-tree", + "//verible/common/formatting:unwrapped-line", + "//verible/common/formatting:verification", + "//verible/common/strings:diff", + "//verible/common/strings:line-column-map", + "//verible/common/strings:position", + "//verible/common/strings:range", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:expandable-tree-view", + "//verible/common/util:interval", + "//verible/common/util:interval-set", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:spacer", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", + "//verible/common/util:vector-tree-iterators", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis:verilog-equivalence", + "//verible/verilog/parser:verilog-token-enum", + "//verible/verilog/preprocessor:verilog-preprocess", + "@com_google_absl//absl/base:core_headers", + "@com_google_absl//absl/status", + "@com_google_absl//absl/status:statusor", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "formatter_test", + srcs = ["formatter_test.cc"], + deps = [ + ":format-style", + ":formatter", + "//verible/common/formatting:align", + "//verible/common/formatting:basic-format-style", + "//verible/common/strings:display-utils", + "//verible/common/strings:position", + "//verible/common/text:text-structure", + "//verible/common/util:interval", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_test( + name = "formatter-tuning_test", + srcs = ["formatter-tuning_test.cc"], + deps = [ + ":format-style", + ":formatter", + "//verible/common/strings:position", + "//verible/common/util:logging", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "comment-controls", + srcs = ["comment-controls.cc"], + hdrs = ["comment-controls.h"], + deps = [ + "//verible/common/strings:comment-utils", + "//verible/common/strings:display-utils", + "//verible/common/strings:line-column-map", + "//verible/common/strings:position", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/common/util:spacer", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "comment-controls_test", + srcs = ["comment-controls_test.cc"], + deps = [ + ":comment-controls", + "//verible/common/strings:line-column-map", + "//verible/common/strings:position", + "//verible/common/text:token-info-test-util", + "//verible/verilog/analysis:verilog-analyzer", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "format-style", + hdrs = ["format-style.h"], + deps = [ + "//verible/common/formatting:align", + "//verible/common/formatting:basic-format-style", + ], +) + +cc_library( + name = "format-style-init", + srcs = ["format-style-init.cc"], + hdrs = ["format-style-init.h"], + deps = [ + ":format-style", + "//verible/common/formatting:align", + "//verible/common/formatting:basic-format-style", + "//verible/common/formatting:basic-format-style-init", + "@com_google_absl//absl/flags:flag", + ], +) + +cc_library( + name = "token-annotator", + srcs = ["token-annotator.cc"], + hdrs = ["token-annotator.h"], + deps = [ + ":format-style", + ":verilog-token", + "//verible/common/formatting:format-token", + "//verible/common/formatting:tree-annotator", + "//verible/common/strings:range", + "//verible/common/text:symbol", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/common/util:with-reason", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "token-annotator_test", + srcs = ["token-annotator_test.cc"], + deps = [ + ":format-style", + ":token-annotator", + ":verilog-token", + "//verible/common/formatting:format-token", + "//verible/common/formatting:unwrapped-line", + "//verible/common/formatting:unwrapped-line-test-utils", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol-ptr", + "//verible/common/text:syntax-tree-context", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", + "//verible/common/util:casts", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) diff --git a/verilog/formatting/README.md b/verible/verilog/formatting/README.md similarity index 100% rename from verilog/formatting/README.md rename to verible/verilog/formatting/README.md diff --git a/verilog/formatting/align.cc b/verible/verilog/formatting/align.cc similarity index 98% rename from verilog/formatting/align.cc rename to verible/verilog/formatting/align.cc index 50f7db7f7..05a3ca7d4 100644 --- a/verilog/formatting/align.cc +++ b/verible/verilog/formatting/align.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/align.h" +#include "verible/verilog/formatting/align.h" #include #include @@ -21,26 +21,26 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/align.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/position.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-context-visitor.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "common/util/value-saver.h" -#include "verilog/CST/context-functions.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/formatting/format-style.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/formatting/align.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/value-saver.h" +#include "verible/verilog/CST/context-functions.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/align.h b/verible/verilog/formatting/align.h similarity index 87% rename from verilog/formatting/align.h rename to verible/verilog/formatting/align.h index dbfe5642d..9b17b115b 100644 --- a/verilog/formatting/align.h +++ b/verible/verilog/formatting/align.h @@ -16,9 +16,9 @@ #define VERIBLE_VERILOG_FORMATTING_ALIGN_H_ #include "absl/strings/string_view.h" -#include "common/formatting/token-partition-tree.h" -#include "common/strings/position.h" // for ByteOffsetSet -#include "verilog/formatting/format-style.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/strings/position.h" // for ByteOffsetSet +#include "verible/verilog/formatting/format-style.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/comment-controls.cc b/verible/verilog/formatting/comment-controls.cc similarity index 91% rename from verilog/formatting/comment-controls.cc rename to verible/verilog/formatting/comment-controls.cc index aab0d6e6d..ce195f423 100644 --- a/verilog/formatting/comment-controls.cc +++ b/verible/verilog/formatting/comment-controls.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/comment-controls.h" +#include "verible/verilog/formatting/comment-controls.h" #include #include @@ -23,18 +23,18 @@ #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" #include "absl/strings/strip.h" -#include "common/strings/comment-utils.h" -#include "common/strings/display-utils.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "common/util/spacer.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/strings/comment-utils.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/common/util/spacer.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/comment-controls.h b/verible/verilog/formatting/comment-controls.h similarity index 91% rename from verilog/formatting/comment-controls.h rename to verible/verilog/formatting/comment-controls.h index 97a6cd772..f357ce2dc 100644 --- a/verilog/formatting/comment-controls.h +++ b/verible/verilog/formatting/comment-controls.h @@ -18,9 +18,9 @@ #include #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" // for ByteOffsetSet, LineNumberSet -#include "common/text/token-stream-view.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" // for ByteOffsetSet, LineNumberSet +#include "verible/common/text/token-stream-view.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/comment-controls_test.cc b/verible/verilog/formatting/comment-controls_test.cc similarity index 98% rename from verilog/formatting/comment-controls_test.cc rename to verible/verilog/formatting/comment-controls_test.cc index 3a6f8b66e..8db9b5a2d 100644 --- a/verilog/formatting/comment-controls_test.cc +++ b/verible/verilog/formatting/comment-controls_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/comment-controls.h" +#include "verible/verilog/formatting/comment-controls.h" #include #include @@ -20,12 +20,12 @@ #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" -#include "common/text/token-info-test-util.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/verilog/analysis/verilog-analyzer.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/format-style-init.cc b/verible/verilog/formatting/format-style-init.cc similarity index 95% rename from verilog/formatting/format-style-init.cc rename to verible/verilog/formatting/format-style-init.cc index fa1bba120..89eee1a1a 100644 --- a/verilog/formatting/format-style-init.cc +++ b/verible/verilog/formatting/format-style-init.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/format-style-init.h" +#include "verible/verilog/formatting/format-style-init.h" #include "absl/flags/flag.h" -#include "common/formatting/align.h" -#include "common/formatting/basic-format-style-init.h" -#include "common/formatting/basic-format-style.h" -#include "verilog/formatting/format-style.h" +#include "verible/common/formatting/align.h" +#include "verible/common/formatting/basic-format-style-init.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/verilog/formatting/format-style.h" using verible::AlignmentPolicy; using verible::IndentationStyle; diff --git a/verilog/formatting/format-style-init.h b/verible/verilog/formatting/format-style-init.h similarity index 95% rename from verilog/formatting/format-style-init.h rename to verible/verilog/formatting/format-style-init.h index 464083c16..eb57cc648 100644 --- a/verilog/formatting/format-style-init.h +++ b/verible/verilog/formatting/format-style-init.h @@ -15,7 +15,7 @@ #ifndef VERIBLE_VERILOG_FORMATTING_FORMAT_STYLE_INIT_H_ #define VERIBLE_VERILOG_FORMATTING_FORMAT_STYLE_INIT_H_ -#include "verilog/formatting/format-style.h" +#include "verible/verilog/formatting/format-style.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/format-style.h b/verible/verilog/formatting/format-style.h similarity index 98% rename from verilog/formatting/format-style.h rename to verible/verilog/formatting/format-style.h index e2d87fb6d..64d295f94 100644 --- a/verilog/formatting/format-style.h +++ b/verible/verilog/formatting/format-style.h @@ -15,8 +15,8 @@ #ifndef VERIBLE_VERILOG_FORMATTING_FORMAT_STYLE_H_ #define VERIBLE_VERILOG_FORMATTING_FORMAT_STYLE_H_ -#include "common/formatting/align.h" -#include "common/formatting/basic-format-style.h" +#include "verible/common/formatting/align.h" +#include "verible/common/formatting/basic-format-style.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/formatter-tuning_test.cc b/verible/verilog/formatting/formatter-tuning_test.cc similarity index 96% rename from verilog/formatting/formatter-tuning_test.cc rename to verible/verilog/formatting/formatter-tuning_test.cc index ed90bd1ab..d05d99366 100644 --- a/verilog/formatting/formatter-tuning_test.cc +++ b/verible/verilog/formatting/formatter-tuning_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/formatter.h" +#include "verible/verilog/formatting/formatter.h" // prevent header re-ordering @@ -20,10 +20,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/position.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/formatting/format-style.h" +#include "verible/common/strings/position.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/formatting/format-style.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/formatting/formatter.cc b/verible/verilog/formatting/formatter.cc similarity index 95% rename from verilog/formatting/formatter.cc rename to verible/verilog/formatting/formatter.cc index d1c17f0ea..ecbe98fa1 100644 --- a/verilog/formatting/formatter.cc +++ b/verible/verilog/formatting/formatter.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/formatter.h" +#include "verible/verilog/formatting/formatter.h" #include #include @@ -29,40 +29,40 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/layout-optimizer.h" -#include "common/formatting/line-wrap-searcher.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/formatting/verification.h" -#include "common/strings/diff.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" -#include "common/strings/range.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/expandable-tree-view.h" -#include "common/util/interval-set.h" -#include "common/util/interval.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree-iterators.h" -#include "common/util/vector-tree.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-equivalence.h" -#include "verilog/formatting/align.h" -#include "verilog/formatting/comment-controls.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/token-annotator.h" -#include "verilog/formatting/tree-unwrapper.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/layout-optimizer.h" +#include "verible/common/formatting/line-wrap-searcher.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/formatting/verification.h" +#include "verible/common/strings/diff.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" +#include "verible/common/strings/range.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/expandable-tree-view.h" +#include "verible/common/util/interval-set.h" +#include "verible/common/util/interval.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree-iterators.h" +#include "verible/common/util/vector-tree.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-equivalence.h" +#include "verible/verilog/formatting/align.h" +#include "verible/verilog/formatting/comment-controls.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/token-annotator.h" +#include "verible/verilog/formatting/tree-unwrapper.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/formatter.h b/verible/verilog/formatting/formatter.h similarity index 96% rename from verilog/formatting/formatter.h rename to verible/verilog/formatting/formatter.h index f3fd04450..1651a7fe5 100644 --- a/verilog/formatting/formatter.h +++ b/verible/verilog/formatting/formatter.h @@ -20,10 +20,10 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/position.h" -#include "common/text/text-structure.h" -#include "common/util/interval.h" -#include "verilog/formatting/format-style.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/interval.h" +#include "verible/verilog/formatting/format-style.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/formatter_test.cc b/verible/verilog/formatting/formatter_test.cc similarity index 99% rename from verilog/formatting/formatter_test.cc rename to verible/verilog/formatting/formatter_test.cc index aa2833a59..ffaaab7bc 100644 --- a/verilog/formatting/formatter_test.cc +++ b/verible/verilog/formatting/formatter_test.cc @@ -18,7 +18,7 @@ // * Short lines and partitions. Lines that fit need no wrapping. // * Forced line breaks using //comments (reduce decision-making) -#include "verilog/formatting/formatter.h" +#include "verible/verilog/formatting/formatter.h" #include #include @@ -31,17 +31,17 @@ #include "absl/strings/match.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/formatting/align.h" -#include "common/formatting/basic-format-style.h" -#include "common/strings/display-utils.h" -#include "common/strings/position.h" -#include "common/text/text-structure.h" -#include "common/util/interval.h" -#include "common/util/logging.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/formatting/format-style.h" +#include "verible/common/formatting/align.h" +#include "verible/common/formatting/basic-format-style.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/interval.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/formatting/format-style.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/formatting/token-annotator.cc b/verible/verilog/formatting/token-annotator.cc similarity index 97% rename from verilog/formatting/token-annotator.cc rename to verible/verilog/formatting/token-annotator.cc index 4cd7ade89..f2a471110 100644 --- a/verilog/formatting/token-annotator.cc +++ b/verible/verilog/formatting/token-annotator.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/token-annotator.h" +#include "verible/verilog/formatting/token-annotator.h" #include #include @@ -20,21 +20,21 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/tree-annotator.h" -#include "common/strings/range.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" -#include "common/util/with-reason.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/verilog-token.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/tree-annotator.h" +#include "verible/common/strings/range.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/with-reason.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/verilog-token.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/token-annotator.h b/verible/verilog/formatting/token-annotator.h similarity index 89% rename from verilog/formatting/token-annotator.h rename to verible/verilog/formatting/token-annotator.h index 157feacf5..86f976826 100644 --- a/verilog/formatting/token-annotator.h +++ b/verible/verilog/formatting/token-annotator.h @@ -17,11 +17,11 @@ #include -#include "common/formatting/format-token.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "verilog/formatting/format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/formatting/format-style.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/token-annotator_test.cc b/verible/verilog/formatting/token-annotator_test.cc similarity index 99% rename from verilog/formatting/token-annotator_test.cc rename to verible/verilog/formatting/token-annotator_test.cc index affabed33..943d8e97e 100644 --- a/verilog/formatting/token-annotator_test.cc +++ b/verible/verilog/formatting/token-annotator_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/token-annotator.h" +#include "verible/verilog/formatting/token-annotator.h" #include #include @@ -21,23 +21,23 @@ #include #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/unwrapped-line-test-utils.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol-ptr.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/token-info-test-util.h" -#include "common/text/token-info.h" -#include "common/util/casts.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/verilog-token.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/unwrapped-line-test-utils.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol-ptr.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/verilog-token.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/tree-unwrapper.cc b/verible/verilog/formatting/tree-unwrapper.cc similarity index 98% rename from verilog/formatting/tree-unwrapper.cc rename to verible/verilog/formatting/tree-unwrapper.cc index 061fa950d..771909416 100644 --- a/verilog/formatting/tree-unwrapper.cc +++ b/verible/verilog/formatting/tree-unwrapper.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/tree-unwrapper.h" +#include "verible/verilog/formatting/tree-unwrapper.h" #include #include @@ -30,35 +30,35 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/tree-unwrapper.h" -#include "common/formatting/unwrapped-line.h" -#include "common/strings/display-utils.h" -#include "common/strings/range.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/constants.h" -#include "common/text/symbol.h" -#include "common/text/syntax-tree-context.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/text/tree-utils.h" -#include "common/util/container-iterator-range.h" -#include "common/util/enum-flags.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/macro.h" -#include "verilog/CST/statement.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/verilog-token.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/tree-unwrapper.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/strings/display-utils.h" +#include "verible/common/strings/range.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/syntax-tree-context.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/macro.h" +#include "verible/verilog/CST/statement.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/verilog-token.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/tree-unwrapper.h b/verible/verilog/formatting/tree-unwrapper.h similarity index 91% rename from verilog/formatting/tree-unwrapper.h rename to verible/verilog/formatting/tree-unwrapper.h index d6597efb4..df5382758 100644 --- a/verilog/formatting/tree-unwrapper.h +++ b/verible/verilog/formatting/tree-unwrapper.h @@ -19,16 +19,16 @@ #include #include -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/tree-unwrapper.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "verilog/formatting/format-style.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/tree-unwrapper.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/tree-unwrapper_test.cc b/verible/verilog/formatting/tree-unwrapper_test.cc similarity index 99% rename from verilog/formatting/tree-unwrapper_test.cc rename to verible/verilog/formatting/tree-unwrapper_test.cc index 1841ec391..adbfd9b70 100644 --- a/verilog/formatting/tree-unwrapper_test.cc +++ b/verible/verilog/formatting/tree-unwrapper_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/tree-unwrapper.h" +#include "verible/verilog/formatting/tree-unwrapper.h" #include #include @@ -26,18 +26,18 @@ #include "absl/status/status.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/formatting/format-token.h" -#include "common/formatting/token-partition-tree.h" -#include "common/formatting/unwrapped-line.h" -#include "common/text/text-structure.h" -#include "common/util/container-iterator-range.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "common/util/tree-operations.h" -#include "common/util/vector-tree.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/formatting/format-style.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/formatting/token-partition-tree.h" +#include "verible/common/formatting/unwrapped-line.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/util/container-iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/common/util/tree-operations.h" +#include "verible/common/util/vector-tree.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/formatting/format-style.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/formatting/verilog-token.cc b/verible/verilog/formatting/verilog-token.cc similarity index 99% rename from verilog/formatting/verilog-token.cc rename to verible/verilog/formatting/verilog-token.cc index 77486c81a..0abd35bea 100644 --- a/verilog/formatting/verilog-token.cc +++ b/verible/verilog/formatting/verilog-token.cc @@ -12,11 +12,11 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/verilog-token.h" +#include "verible/verilog/formatting/verilog-token.h" #include "absl/container/node_hash_map.h" -#include "common/util/container-util.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/util/container-util.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/verilog-token.h b/verible/verilog/formatting/verilog-token.h similarity index 96% rename from verilog/formatting/verilog-token.h rename to verible/verilog/formatting/verilog-token.h index fc02e483c..6d5f109ed 100644 --- a/verilog/formatting/verilog-token.h +++ b/verible/verilog/formatting/verilog-token.h @@ -15,7 +15,7 @@ #ifndef VERIBLE_VERILOG_FORMATTING_VERILOG_TOKEN_H_ #define VERIBLE_VERILOG_FORMATTING_VERILOG_TOKEN_H_ -#include "verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/formatting/verilog-token_test.cc b/verible/verilog/formatting/verilog-token_test.cc similarity index 95% rename from verilog/formatting/verilog-token_test.cc rename to verible/verilog/formatting/verilog-token_test.cc index 351ad1291..9db52d83b 100644 --- a/verilog/formatting/verilog-token_test.cc +++ b/verible/verilog/formatting/verilog-token_test.cc @@ -12,12 +12,12 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/formatting/verilog-token.h" +#include "verible/verilog/formatting/verilog-token.h" -#include "common/formatting/format-token.h" -#include "common/text/token-info.h" #include "gtest/gtest.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/formatting/format-token.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace formatter { diff --git a/verilog/parser/BUILD b/verible/verilog/parser/BUILD similarity index 72% rename from verilog/parser/BUILD rename to verible/verilog/parser/BUILD index 826921c6f..408855872 100644 --- a/verilog/parser/BUILD +++ b/verible/verilog/parser/BUILD @@ -3,7 +3,7 @@ load("//bazel:bison.bzl", "genyacc") load("//bazel:flex.bzl", "genlex") load( - "//common/parser:yacc.bzl", + "//verible/common/parser:yacc.bzl", "record_recovered_syntax_errors", "std_move_parser_symbols", ) @@ -11,7 +11,7 @@ load( package( default_applicable_licenses = ["//:license"], default_visibility = [ - "//verilog:__subpackages__", + "//verible/verilog:__subpackages__", ], # Not yet enabled, lexer does not find FlexLexer.h #features = ["layering_check"], @@ -39,8 +39,8 @@ cc_library( deps = [ ":verilog-token-enum", "//bazel:flex", - "//common/lexer:flex-lexer-adapter", - "//common/text:token-info", + "//verible/common/lexer:flex-lexer-adapter", + "//verible/common/text:token-info", "@com_google_absl//absl/strings:string_view", ], ) @@ -52,8 +52,8 @@ cc_test( deps = [ ":verilog-lexer", ":verilog-token-enum", - "//common/lexer:lexer-test-util", - "//common/text:token-info", + "//verible/common/lexer:lexer-test-util", + "//verible/common/text:token-info", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -137,21 +137,21 @@ cc_library( ], }), deps = [ - "//common/parser:bison-parser-common", - "//common/parser:parser-param", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/CST:DPI", - "//verilog/CST:declaration", - "//verilog/CST:expression", - "//verilog/CST:functions", - "//verilog/CST:module", - "//verilog/CST:parameters", - "//verilog/CST:port", - "//verilog/CST:type", - "//verilog/CST:verilog-nonterminals", - "//verilog/CST:verilog-treebuilder-utils", + "//verible/common/parser:bison-parser-common", + "//verible/common/parser:parser-param", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:logging", + "//verible/verilog/CST:DPI", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:expression", + "//verible/verilog/CST:functions", + "//verible/verilog/CST:module", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:port", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/CST:verilog-treebuilder-utils", ], alwayslink = 1, ) @@ -162,10 +162,10 @@ cc_library( hdrs = ["verilog-parser.h"], deps = [ ":verilog-y-cc", - "//common/parser:bison-parser-adapter", - "//common/parser:parse", - "//common/parser:parser-param", - "//common/util:value-saver", + "//verible/common/parser:bison-parser-adapter", + "//verible/common/parser:parse", + "//verible/common/parser:parser-param", + "//verible/common/util:value-saver", "@com_google_absl//absl/flags:flag", ], ) @@ -176,10 +176,10 @@ cc_library( hdrs = ["verilog-lexical-context.h"], deps = [ ":verilog-token-enum", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:logging", - "//common/util:with-reason", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:logging", + "//verible/common/util:with-reason", ], ) @@ -189,17 +189,17 @@ cc_test( srcs = ["verilog-parser_test.cc"], deps = [ ":verilog-token-enum", - "//common/parser:bison-parser-common", - "//common/parser:parser-test-util", - "//common/text:constants", - "//common/text:parser-verifier", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:token-info-test-util", - "//common/util:logging", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:verilog-analyzer", - "//verilog/preprocessor:verilog-preprocess", + "//verible/common/parser:bison-parser-common", + "//verible/common/parser:parser-test-util", + "//verible/common/text:constants", + "//verible/common/text:parser-verifier", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:token-info-test-util", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/preprocessor:verilog-preprocess", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -215,11 +215,11 @@ cc_test( ":verilog-lexical-context", ":verilog-parser", ":verilog-token-enum", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", diff --git a/verilog/parser/README.md b/verible/verilog/parser/README.md similarity index 100% rename from verilog/parser/README.md rename to verible/verilog/parser/README.md diff --git a/verilog/parser/verilog-lexer.cc b/verible/verilog/parser/verilog-lexer.cc similarity index 92% rename from verilog/parser/verilog-lexer.cc rename to verible/verilog/parser/verilog-lexer.cc index 4d8974adc..88f611333 100644 --- a/verilog/parser/verilog-lexer.cc +++ b/verible/verilog/parser/verilog-lexer.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-lexer.h" #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/parser/verilog-lexer.h b/verible/verilog/parser/verilog-lexer.h similarity index 96% rename from verilog/parser/verilog-lexer.h rename to verible/verilog/parser/verilog-lexer.h index bbf70f602..31b648001 100644 --- a/verilog/parser/verilog-lexer.h +++ b/verible/verilog/parser/verilog-lexer.h @@ -21,8 +21,8 @@ // verilog.lex has "%prefix=verilog", meaning the class flex creates is // verilogFlexLexer. Unfortunately, FlexLexer.h doesn't have proper ifdefs // around its inclusion, so we have to put a bar around it here. -#include "common/lexer/flex-lexer-adapter.h" -#include "common/text/token-info.h" +#include "verible/common/lexer/flex-lexer-adapter.h" +#include "verible/common/text/token-info.h" // clang-format off #ifndef _FLEXLEXER_H_ diff --git a/verilog/parser/verilog-lexer_test.cc b/verible/verilog/parser/verilog-lexer_test.cc similarity index 99% rename from verilog/parser/verilog-lexer_test.cc rename to verible/verilog/parser/verilog-lexer_test.cc index 98a9357fa..7978dccb9 100644 --- a/verilog/parser/verilog-lexer_test.cc +++ b/verible/verilog/parser/verilog-lexer_test.cc @@ -13,18 +13,18 @@ // limitations under the License. // Unit tests for VerilogLexer (from verilog.lex) -#include "verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-lexer.h" #include #include #include #include "absl/strings/string_view.h" -#include "common/lexer/lexer-test-util.h" -#include "common/text/token-info.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/lexer/lexer-test-util.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace { diff --git a/verilog/parser/verilog-lexical-context.cc b/verible/verilog/parser/verilog-lexical-context.cc similarity index 99% rename from verilog/parser/verilog-lexical-context.cc rename to verible/verilog/parser/verilog-lexical-context.cc index c05e88d8b..00fddc5ad 100644 --- a/verilog/parser/verilog-lexical-context.cc +++ b/verible/verilog/parser/verilog-lexical-context.cc @@ -14,16 +14,16 @@ // verilog_lexical_context.cc implements LexicalContext. -#include "verilog/parser/verilog-lexical-context.h" +#include "verible/verilog/parser/verilog-lexical-context.h" #include #include #include #include -#include "common/text/token-info.h" -#include "common/util/logging.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/parser/verilog-lexical-context.h b/verible/verilog/parser/verilog-lexical-context.h similarity index 98% rename from verilog/parser/verilog-lexical-context.h rename to verible/verilog/parser/verilog-lexical-context.h index a9996b49a..b41e3f845 100644 --- a/verilog/parser/verilog-lexical-context.h +++ b/verible/verilog/parser/verilog-lexical-context.h @@ -19,9 +19,9 @@ #include #include -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/with-reason.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/with-reason.h" namespace verilog { namespace internal { diff --git a/verilog/parser/verilog-lexical-context_test.cc b/verible/verilog/parser/verilog-lexical-context_test.cc similarity index 99% rename from verilog/parser/verilog-lexical-context_test.cc rename to verible/verilog/parser/verilog-lexical-context_test.cc index b04753b7d..31b48b30f 100644 --- a/verilog/parser/verilog-lexical-context_test.cc +++ b/verible/verilog/parser/verilog-lexical-context_test.cc @@ -22,7 +22,7 @@ // Testing exhaustively is counter-productive because many aspects of the // class's internal details are subject to change. -#include "verilog/parser/verilog-lexical-context.h" +#include "verible/verilog/parser/verilog-lexical-context.h" #include #include @@ -34,14 +34,14 @@ #include "absl/strings/match.h" #include "absl/strings/string_view.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" // only used for lexing -#include "verilog/parser/verilog-parser.h" // only used for diagnostics -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" // only used for lexing +#include "verible/verilog/parser/verilog-parser.h" // only used for diagnostics +#include "verible/verilog/parser/verilog-token-enum.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/parser/verilog-parser.cc b/verible/verilog/parser/verilog-parser.cc similarity index 91% rename from verilog/parser/verilog-parser.cc rename to verible/verilog/parser/verilog-parser.cc index 4e2b18b38..e40731ba7 100644 --- a/verilog/parser/verilog-parser.cc +++ b/verible/verilog/parser/verilog-parser.cc @@ -14,11 +14,11 @@ // Define Verilog parser methods. -#include "verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-parser.h" #include "absl/flags/flag.h" -#include "common/parser/parser-param.h" -#include "common/util/value-saver.h" +#include "verible/common/parser/parser-param.h" +#include "verible/common/util/value-saver.h" // This flag is referenced in verilog_parse_wrapper (verilog_parser.h), // where it controls tracing of the parser. diff --git a/verilog/parser/verilog-parser.h b/verible/verilog/parser/verilog-parser.h similarity index 93% rename from verilog/parser/verilog-parser.h rename to verible/verilog/parser/verilog-parser.h index e928c76eb..b193b4806 100644 --- a/verilog/parser/verilog-parser.h +++ b/verible/verilog/parser/verilog-parser.h @@ -23,8 +23,8 @@ #include -#include "common/parser/bison-parser-adapter.h" -#include "common/parser/parser-param.h" +#include "verible/common/parser/bison-parser-adapter.h" +#include "verible/common/parser/parser-param.h" namespace verilog { diff --git a/verilog/parser/verilog-parser_test.cc b/verible/verilog/parser/verilog-parser_test.cc similarity index 99% rename from verilog/parser/verilog-parser_test.cc rename to verible/verilog/parser/verilog-parser_test.cc index bfe40cee5..ee93ce962 100644 --- a/verilog/parser/verilog-parser_test.cc +++ b/verible/verilog/parser/verilog-parser_test.cc @@ -21,20 +21,20 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/parser/bison-parser-common.h" -#include "common/parser/parser-test-util.h" -#include "common/text/constants.h" -#include "common/text/parser-verifier.h" -#include "common/text/symbol.h" -#include "common/text/token-info-test-util.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" #include "gtest/gtest.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-excerpt-parse.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/common/parser/bison-parser-common.h" +#include "verible/common/parser/parser-test-util.h" +#include "verible/common/text/constants.h" +#include "verible/common/text/parser-verifier.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info-test-util.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-excerpt-parse.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" namespace verilog { diff --git a/verilog/parser/verilog-token-classifications.cc b/verible/verilog/parser/verilog-token-classifications.cc similarity index 97% rename from verilog/parser/verilog-token-classifications.cc rename to verible/verilog/parser/verilog-token-classifications.cc index 54d248415..a3c35239d 100644 --- a/verilog/parser/verilog-token-classifications.cc +++ b/verible/verilog/parser/verilog-token-classifications.cc @@ -12,9 +12,9 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/parser/verilog-token-classifications.h b/verible/verilog/parser/verilog-token-classifications.h similarity index 97% rename from verilog/parser/verilog-token-classifications.h rename to verible/verilog/parser/verilog-token-classifications.h index 1ab0d50fc..e6109c5b8 100644 --- a/verilog/parser/verilog-token-classifications.h +++ b/verible/verilog/parser/verilog-token-classifications.h @@ -15,7 +15,7 @@ #ifndef VERIBLE_VERILOG_FORMATTING_VERILOG_TOKEN_CLASSIFICATIONS_H_ #define VERIBLE_VERILOG_FORMATTING_VERILOG_TOKEN_CLASSIFICATIONS_H_ -#include "verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/parser/verilog-token-classifications_test.cc b/verible/verilog/parser/verilog-token-classifications_test.cc similarity index 98% rename from verilog/parser/verilog-token-classifications_test.cc rename to verible/verilog/parser/verilog-token-classifications_test.cc index 055315c18..dadc8704d 100644 --- a/verilog/parser/verilog-token-classifications_test.cc +++ b/verible/verilog/parser/verilog-token-classifications_test.cc @@ -12,10 +12,10 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-classifications.h" #include "gtest/gtest.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace { diff --git a/verilog/parser/verilog-token.cc b/verible/verilog/parser/verilog-token.cc similarity index 93% rename from verilog/parser/verilog-token.cc rename to verible/verilog/parser/verilog-token.cc index 5111841a7..2827c1571 100644 --- a/verilog/parser/verilog-token.cc +++ b/verible/verilog/parser/verilog-token.cc @@ -12,13 +12,13 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/parser/verilog-token.h" +#include "verible/verilog/parser/verilog-token.h" #include #include "absl/strings/string_view.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/parser/verilog-token.h b/verible/verilog/parser/verilog-token.h similarity index 100% rename from verilog/parser/verilog-token.h rename to verible/verilog/parser/verilog-token.h diff --git a/verilog/parser/verilog.lex b/verible/verilog/parser/verilog.lex similarity index 99% rename from verilog/parser/verilog.lex rename to verible/verilog/parser/verilog.lex index 639b1208c..4fe20dd56 100644 --- a/verilog/parser/verilog.lex +++ b/verible/verilog/parser/verilog.lex @@ -31,8 +31,8 @@ #include -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-token-enum.h" /* When testing unstructured sequences of tokens in the unit-tests, * the start-condition stack may be unbalanced. diff --git a/verilog/parser/verilog.y b/verible/verilog/parser/verilog.y similarity index 99% rename from verilog/parser/verilog.y rename to verible/verilog/parser/verilog.y index 68962b9d8..6a4a6f516 100644 --- a/verilog/parser/verilog.y +++ b/verible/verilog/parser/verilog.y @@ -14,7 +14,7 @@ */ %code requires{ -#include "common/parser/parser-param.h" +#include "verible/common/parser/parser-param.h" } %{ @@ -25,27 +25,27 @@ yacc/bison LR(1) grammar for SystemVerilog. The syntax tree constructed by the semantic actions in this file are fragile and subject to change without notice. Functionality that relies directly on this structure should be isolated under -//verilog/CST/... (concrete syntax tree) and unit-tested accordingly. +//verible/verilog/CST/... (concrete syntax tree) and unit-tested accordingly. **/ #include #include #include // std::is_same -#include "common/parser/bison-parser-common.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/logging.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/DPI.h" -#include "verilog/CST/expression.h" -#include "verilog/CST/functions.h" -#include "verilog/CST/module.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/port.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/CST/verilog-treebuilder-utils.h" +#include "verible/common/parser/bison-parser-common.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/DPI.h" +#include "verible/verilog/CST/expression.h" +#include "verible/verilog/CST/functions.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/CST/verilog-treebuilder-utils.h" /*** * Verilog Language Standard (IEEE 1364-2005): diff --git a/verible/verilog/preprocessor/BUILD b/verible/verilog/preprocessor/BUILD new file mode 100644 index 000000000..e4d49dce3 --- /dev/null +++ b/verible/verilog/preprocessor/BUILD @@ -0,0 +1,60 @@ +# This package contains libraries related to SystemVerilog preprocessing. + +package( + default_applicable_licenses = ["//:license"], + default_visibility = [ + "//verible/verilog:__subpackages__", + # TODO(b/130113490): standalone preprocessor tool + ], + features = ["layering_check"], +) + +cc_library( + name = "verilog-preprocess", + srcs = ["verilog-preprocess.cc"], + hdrs = ["verilog-preprocess.h"], + deps = [ + "//verible/common/lexer:token-generator", + "//verible/common/lexer:token-stream-adapter", + "//verible/common/text:macro-definition", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:container-util", + "//verible/common/util:logging", + "//verible/common/util:status-macros", + "//verible/verilog/analysis:verilog-filelist", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/status:statusor", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "verilog-preprocess_test", + srcs = ["verilog-preprocess_test.cc"], + deps = [ + ":verilog-preprocess", + "//verible/common/text:macro-definition", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-stream-view", + "//verible/common/util:container-util", + "//verible/common/util:file-util", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis:verilog-filelist", + "//verible/verilog/analysis:verilog-project", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/status", + "@com_google_absl//absl/status:statusor", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) diff --git a/verilog/preprocessor/README.md b/verible/verilog/preprocessor/README.md similarity index 100% rename from verilog/preprocessor/README.md rename to verible/verilog/preprocessor/README.md diff --git a/verilog/preprocessor/verilog-preprocess.cc b/verible/verilog/preprocessor/verilog-preprocess.cc similarity index 97% rename from verilog/preprocessor/verilog-preprocess.cc rename to verible/verilog/preprocessor/verilog-preprocess.cc index 013f8d246..049c07a52 100644 --- a/verilog/preprocessor/verilog-preprocess.cc +++ b/verible/verilog/preprocessor/verilog-preprocess.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" #include #include @@ -26,19 +26,19 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/lexer/token-generator.h" -#include "common/lexer/token-stream-adapter.h" -#include "common/text/macro-definition.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/container-util.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-parser.h" // for verilog_symbol_name() -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/lexer/token-generator.h" +#include "verible/common/lexer/token-stream-adapter.h" +#include "verible/common/text/macro-definition.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-parser.h" // for verilog_symbol_name() +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/preprocessor/verilog-preprocess.h b/verible/verilog/preprocessor/verilog-preprocess.h similarity index 97% rename from verilog/preprocessor/verilog-preprocess.h rename to verible/verilog/preprocessor/verilog-preprocess.h index ef2b82a54..a770d1350 100644 --- a/verilog/preprocessor/verilog-preprocess.h +++ b/verible/verilog/preprocessor/verilog-preprocess.h @@ -45,11 +45,11 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/text/macro-definition.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "verilog/analysis/verilog-filelist.h" +#include "verible/common/text/macro-definition.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/verilog/analysis/verilog-filelist.h" namespace verilog { diff --git a/verilog/preprocessor/verilog-preprocess_test.cc b/verible/verilog/preprocessor/verilog-preprocess_test.cc similarity index 98% rename from verilog/preprocessor/verilog-preprocess_test.cc rename to verible/verilog/preprocessor/verilog-preprocess_test.cc index 6799f5919..0505d7757 100644 --- a/verilog/preprocessor/verilog-preprocess_test.cc +++ b/verible/verilog/preprocessor/verilog-preprocess_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" #include #include @@ -24,19 +24,19 @@ #include "absl/strings/match.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/macro-definition.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/token-stream-view.h" -#include "common/util/container-util.h" -#include "common/util/file-util.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/text/macro-definition.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/container-util.h" +#include "verible/common/util/file-util.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { namespace { diff --git a/verilog/tools/README.md b/verible/verilog/tools/README.md similarity index 100% rename from verilog/tools/README.md rename to verible/verilog/tools/README.md diff --git a/verilog/tools/diff/BUILD b/verible/verilog/tools/diff/BUILD similarity index 89% rename from verilog/tools/diff/BUILD rename to verible/verilog/tools/diff/BUILD index 5ac7fec4e..cf2013dd2 100644 --- a/verilog/tools/diff/BUILD +++ b/verible/verilog/tools/diff/BUILD @@ -16,11 +16,11 @@ cc_binary( features = STATIC_EXECUTABLES_FEATURE, visibility = ["//visibility:public"], deps = [ - "//common/util:enum-flags", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:logging", - "//verilog/analysis:verilog-equivalence", + "//verible/common/util:enum-flags", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-equivalence", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", diff --git a/verilog/tools/diff/README.md b/verible/verilog/tools/diff/README.md similarity index 100% rename from verilog/tools/diff/README.md rename to verible/verilog/tools/diff/README.md diff --git a/verilog/tools/diff/diff_format_lex_error_test.sh b/verible/verilog/tools/diff/diff_format_lex_error_test.sh similarity index 100% rename from verilog/tools/diff/diff_format_lex_error_test.sh rename to verible/verilog/tools/diff/diff_format_lex_error_test.sh diff --git a/verilog/tools/diff/diff_format_match_test.sh b/verible/verilog/tools/diff/diff_format_match_test.sh similarity index 100% rename from verilog/tools/diff/diff_format_match_test.sh rename to verible/verilog/tools/diff/diff_format_match_test.sh diff --git a/verilog/tools/diff/diff_format_mismatch_test.sh b/verible/verilog/tools/diff/diff_format_mismatch_test.sh similarity index 100% rename from verilog/tools/diff/diff_format_mismatch_test.sh rename to verible/verilog/tools/diff/diff_format_mismatch_test.sh diff --git a/verilog/tools/diff/diff_obfuscate_match_test.sh b/verible/verilog/tools/diff/diff_obfuscate_match_test.sh similarity index 100% rename from verilog/tools/diff/diff_obfuscate_match_test.sh rename to verible/verilog/tools/diff/diff_obfuscate_match_test.sh diff --git a/verilog/tools/diff/diff_obfuscate_mismatch_test.sh b/verible/verilog/tools/diff/diff_obfuscate_mismatch_test.sh similarity index 100% rename from verilog/tools/diff/diff_obfuscate_mismatch_test.sh rename to verible/verilog/tools/diff/diff_obfuscate_mismatch_test.sh diff --git a/verilog/tools/diff/diff_user_errors_test.sh b/verible/verilog/tools/diff/diff_user_errors_test.sh similarity index 100% rename from verilog/tools/diff/diff_user_errors_test.sh rename to verible/verilog/tools/diff/diff_user_errors_test.sh diff --git a/verilog/tools/diff/verilog-diff.cc b/verible/verilog/tools/diff/verilog-diff.cc similarity index 95% rename from verilog/tools/diff/verilog-diff.cc rename to verible/verilog/tools/diff/verilog-diff.cc index 13849bde4..e93306b35 100644 --- a/verilog/tools/diff/verilog-diff.cc +++ b/verible/verilog/tools/diff/verilog-diff.cc @@ -30,11 +30,11 @@ #include "absl/flags/flag.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/util/enum-flags.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/logging.h" -#include "verilog/analysis/verilog-equivalence.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-equivalence.h" // Enumeration type for selecting enum class DiffMode { diff --git a/verilog/tools/formatter/BUILD b/verible/verilog/tools/formatter/BUILD similarity index 84% rename from verilog/tools/formatter/BUILD rename to verible/verilog/tools/formatter/BUILD index 168b7ee68..9933a08f1 100644 --- a/verilog/tools/formatter/BUILD +++ b/verible/verilog/tools/formatter/BUILD @@ -16,14 +16,14 @@ cc_binary( features = STATIC_EXECUTABLES_FEATURE, visibility = ["//visibility:public"], # for verilog_style_lint.bzl deps = [ - "//common/strings:position", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:interval-set", - "//common/util:iterator-range", - "//verilog/formatting:format-style", - "//verilog/formatting:format-style-init", - "//verilog/formatting:formatter", + "//verible/common/strings:position", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:interval-set", + "//verible/common/util:iterator-range", + "//verible/verilog/formatting:format-style", + "//verible/verilog/formatting:format-style-init", + "//verible/verilog/formatting:formatter", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/flags:usage", "@com_google_absl//absl/status", @@ -34,8 +34,8 @@ cc_binary( ) # This script is intended to run post-install and expect to be co-located with: -# //verilog/tools/formatter:verible-verilog-format -# //common/tools:verible-patch-tool +# //verible/verilog/tools/formatter:verible-verilog-format +# //verible/common/tools:verible-patch-tool filegroup( name = "git-verilog-format", srcs = ["git-verible-verilog-format.sh"], @@ -43,9 +43,9 @@ filegroup( ) # This script is intended to run post-install and expect to be co-located with: -# //verilog/tools/formatter:verible-verilog-format -# //common/tools:verible-patch-tool -# //common/tools:verible-transform-interactive +# //verible/verilog/tools/formatter:verible-verilog-format +# //verible/common/tools:verible-patch-tool +# //verible/common/tools:verible-transform-interactive filegroup( name = "verible-verilog-format-changed-lines-interactive", srcs = ["verible-verilog-format-changed-lines-interactive.sh"], diff --git a/verilog/tools/formatter/README.md b/verible/verilog/tools/formatter/README.md similarity index 100% rename from verilog/tools/formatter/README.md rename to verible/verilog/tools/formatter/README.md diff --git a/verilog/tools/formatter/diff-to-changed-lines.awk b/verible/verilog/tools/formatter/diff-to-changed-lines.awk similarity index 100% rename from verilog/tools/formatter/diff-to-changed-lines.awk rename to verible/verilog/tools/formatter/diff-to-changed-lines.awk diff --git a/verilog/tools/formatter/diff_formatter.sh b/verible/verilog/tools/formatter/diff_formatter.sh similarity index 100% rename from verilog/tools/formatter/diff_formatter.sh rename to verible/verilog/tools/formatter/diff_formatter.sh diff --git a/verilog/tools/formatter/format_file_badlines_test.sh b/verible/verilog/tools/formatter/format_file_badlines_test.sh similarity index 100% rename from verilog/tools/formatter/format_file_badlines_test.sh rename to verible/verilog/tools/formatter/format_file_badlines_test.sh diff --git a/verilog/tools/formatter/format_file_check_test.sh b/verible/verilog/tools/formatter/format_file_check_test.sh similarity index 100% rename from verilog/tools/formatter/format_file_check_test.sh rename to verible/verilog/tools/formatter/format_file_check_test.sh diff --git a/verilog/tools/formatter/format_file_lex_error_test.sh b/verible/verilog/tools/formatter/format_file_lex_error_test.sh similarity index 100% rename from verilog/tools/formatter/format_file_lex_error_test.sh rename to verible/verilog/tools/formatter/format_file_lex_error_test.sh diff --git a/verilog/tools/formatter/format_file_lines_test.sh b/verible/verilog/tools/formatter/format_file_lines_test.sh similarity index 100% rename from verilog/tools/formatter/format_file_lines_test.sh rename to verible/verilog/tools/formatter/format_file_lines_test.sh diff --git a/verilog/tools/formatter/format_file_syntax_error_test.sh b/verible/verilog/tools/formatter/format_file_syntax_error_test.sh similarity index 100% rename from verilog/tools/formatter/format_file_syntax_error_test.sh rename to verible/verilog/tools/formatter/format_file_syntax_error_test.sh diff --git a/verilog/tools/formatter/format_file_test.sh b/verible/verilog/tools/formatter/format_file_test.sh similarity index 100% rename from verilog/tools/formatter/format_file_test.sh rename to verible/verilog/tools/formatter/format_file_test.sh diff --git a/verilog/tools/formatter/format_inplace_test.sh b/verible/verilog/tools/formatter/format_inplace_test.sh similarity index 100% rename from verilog/tools/formatter/format_inplace_test.sh rename to verible/verilog/tools/formatter/format_inplace_test.sh diff --git a/verilog/tools/formatter/format_stdin_inplace_test.sh b/verible/verilog/tools/formatter/format_stdin_inplace_test.sh similarity index 100% rename from verilog/tools/formatter/format_stdin_inplace_test.sh rename to verible/verilog/tools/formatter/format_stdin_inplace_test.sh diff --git a/verilog/tools/formatter/format_stdin_test.sh b/verible/verilog/tools/formatter/format_stdin_test.sh similarity index 100% rename from verilog/tools/formatter/format_stdin_test.sh rename to verible/verilog/tools/formatter/format_stdin_test.sh diff --git a/verilog/tools/formatter/git-verible-verilog-format.sh b/verible/verilog/tools/formatter/git-verible-verilog-format.sh similarity index 100% rename from verilog/tools/formatter/git-verible-verilog-format.sh rename to verible/verilog/tools/formatter/git-verible-verilog-format.sh diff --git a/verilog/tools/formatter/triage_formatter.sh b/verible/verilog/tools/formatter/triage_formatter.sh similarity index 100% rename from verilog/tools/formatter/triage_formatter.sh rename to verible/verilog/tools/formatter/triage_formatter.sh diff --git a/verilog/tools/formatter/verible-verilog-format-changed-lines-interactive.sh b/verible/verilog/tools/formatter/verible-verilog-format-changed-lines-interactive.sh similarity index 100% rename from verilog/tools/formatter/verible-verilog-format-changed-lines-interactive.sh rename to verible/verilog/tools/formatter/verible-verilog-format-changed-lines-interactive.sh diff --git a/verilog/tools/formatter/verilog-format.cc b/verible/verilog/tools/formatter/verilog-format.cc similarity index 96% rename from verilog/tools/formatter/verilog-format.cc rename to verible/verilog/tools/formatter/verilog-format.cc index 17e5f7a09..9c262b62b 100644 --- a/verilog/tools/formatter/verilog-format.cc +++ b/verible/verilog/tools/formatter/verilog-format.cc @@ -35,14 +35,14 @@ #include "absl/strings/str_join.h" #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/strings/position.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/interval-set.h" -#include "common/util/iterator-range.h" -#include "verilog/formatting/format-style-init.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/formatter.h" +#include "verible/common/strings/position.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/interval-set.h" +#include "verible/common/util/iterator-range.h" +#include "verible/verilog/formatting/format-style-init.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/formatter.h" using absl::StatusCode; using verible::LineNumberSet; diff --git a/verilog/tools/kythe/BUILD b/verible/verilog/tools/kythe/BUILD similarity index 73% rename from verilog/tools/kythe/BUILD rename to verible/verilog/tools/kythe/BUILD index 4e2078a83..34db9b8b8 100644 --- a/verilog/tools/kythe/BUILD +++ b/verible/verilog/tools/kythe/BUILD @@ -28,7 +28,7 @@ cc_library( srcs = ["kythe-facts.cc"], hdrs = ["kythe-facts.h"], deps = [ - "//common/util:spacer", + "//verible/common/util:spacer", "@com_google_absl//absl/hash", "@com_google_absl//absl/log:check", "@com_google_absl//absl/strings", @@ -52,7 +52,7 @@ cc_library( hdrs = ["scope-resolver.h"], deps = [ ":kythe-facts", - "//common/util:logging", + "//verible/common/util:logging", "@com_google_absl//absl/container:flat_hash_map", "@com_google_absl//absl/container:flat_hash_set", "@com_google_absl//absl/container:node_hash_map", @@ -78,8 +78,8 @@ cc_library( hdrs = ["indexing-facts-tree-context.h"], deps = [ ":indexing-facts-tree", - "//common/util:auto-pop-stack", - "//common/util:logging", + "//verible/common/util:auto-pop-stack", + "//verible/common/util:logging", ], ) @@ -99,10 +99,10 @@ cc_library( ":kythe-schema-constants", ":scope-resolver", ":verilog-extractor-indexing-fact-type", - "//common/util:auto-pop-stack", - "//common/util:logging", - "//common/util:tree-operations", - "//verilog/analysis:verilog-project", + "//verible/common/util:auto-pop-stack", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/verilog/analysis:verilog-project", "@com_google_absl//absl/container:flat_hash_set", "@com_google_absl//absl/container:node_hash_set", "@com_google_absl//absl/hash", @@ -133,9 +133,9 @@ cc_library( hdrs = ["indexing-facts-tree.h"], deps = [ ":verilog-extractor-indexing-fact-type", - "//common/text:token-info", - "//common/util:tree-operations", - "//common/util:vector-tree", + "//verible/common/text:token-info", + "//verible/common/util:tree-operations", + "//verible/common/util:vector-tree", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], @@ -147,7 +147,7 @@ cc_test( deps = [ ":indexing-facts-tree", ":verilog-extractor-indexing-fact-type", - "//common/util:range", + "//verible/common/util:range", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", "@com_google_googletest//:gtest_main", @@ -162,30 +162,30 @@ cc_library( ":indexing-facts-tree", ":indexing-facts-tree-context", ":verilog-extractor-indexing-fact-type", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-tree", - "//common/text:token-info", - "//common/text:tree-context-visitor", - "//common/text:tree-utils", - "//common/util:logging", - "//common/util:tree-operations", - "//verilog/CST:class", - "//verilog/CST:declaration", - "//verilog/CST:functions", - "//verilog/CST:identifier", - "//verilog/CST:macro", - "//verilog/CST:module", - "//verilog/CST:net", - "//verilog/CST:package", - "//verilog/CST:parameters", - "//verilog/CST:port", - "//verilog/CST:statement", - "//verilog/CST:tasks", - "//verilog/CST:type", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:verilog-project", - "//verilog/parser:verilog-token-enum", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:token-info", + "//verible/common/text:tree-context-visitor", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/verilog/CST:class", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:functions", + "//verible/verilog/CST:identifier", + "//verible/verilog/CST:macro", + "//verible/verilog/CST:module", + "//verible/verilog/CST:net", + "//verible/verilog/CST:package", + "//verible/verilog/CST:parameters", + "//verible/verilog/CST:port", + "//verible/verilog/CST:statement", + "//verible/verilog/CST:tasks", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:verilog-project", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -199,11 +199,11 @@ cc_test( ":indexing-facts-tree", ":indexing-facts-tree-extractor", ":verilog-extractor-indexing-fact-type", - "//common/analysis:syntax-tree-search-test-utils", - "//common/util:file-util", - "//common/util:logging", - "//common/util:tree-operations", - "//verilog/analysis:verilog-project", + "//verible/common/analysis:syntax-tree-search-test-utils", + "//verible/common/util:file-util", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/verilog/analysis:verilog-project", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -237,13 +237,13 @@ cc_binary( ":kythe-facts", ":kythe-facts-extractor", ":kythe-proto-output", - "//common/util:enum-flags", - "//common/util:init-command-line", - "//common/util:logging", - "//common/util:tree-operations", - "//verilog/analysis:verilog-filelist", - "//verilog/analysis:verilog-project", - "//verilog/analysis/checkers:verilog-lint-rules", + "//verible/common/util:enum-flags", + "//verible/common/util:init-command-line", + "//verible/common/util:logging", + "//verible/common/util:tree-operations", + "//verible/verilog/analysis:verilog-filelist", + "//verible/verilog/analysis:verilog-project", + "//verible/verilog/analysis/checkers:verilog-lint-rules", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", @@ -279,10 +279,10 @@ cc_library( srcs = ["kzip-creator.cc"], hdrs = ["kzip-creator.h"], deps = [ - "//common/util:file-util", - "//common/util:sha256", - "//common/util:simple-zip", "//third_party/proto/kythe:analysis_cc_proto", + "//verible/common/util:file-util", + "//verible/common/util:sha256", + "//verible/common/util:simple-zip", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", ], @@ -297,11 +297,11 @@ cc_binary( visibility = ["//visibility:public"], deps = [ ":kzip-creator", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:logging", "//third_party/proto/kythe:analysis_cc_proto", - "//verilog/analysis:verilog-filelist", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-filelist", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/status:statusor", "@com_google_absl//absl/strings", diff --git a/verilog/tools/kythe/README.md b/verible/verilog/tools/kythe/README.md similarity index 100% rename from verilog/tools/kythe/README.md rename to verible/verilog/tools/kythe/README.md diff --git a/verilog/tools/kythe/indexing-facts-tree-context.h b/verible/verilog/tools/kythe/indexing-facts-tree-context.h similarity index 90% rename from verilog/tools/kythe/indexing-facts-tree-context.h rename to verible/verilog/tools/kythe/indexing-facts-tree-context.h index 00f28bd4f..8e6b43251 100644 --- a/verilog/tools/kythe/indexing-facts-tree-context.h +++ b/verible/verilog/tools/kythe/indexing-facts-tree-context.h @@ -15,9 +15,9 @@ #ifndef VERIBLE_VERILOG_TOOLS_KYTHE_INDEXING_FACTS_TREE_CONTEXT_H_ #define VERIBLE_VERILOG_TOOLS_KYTHE_INDEXING_FACTS_TREE_CONTEXT_H_ -#include "common/util/auto-pop-stack.h" -#include "common/util/logging.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/common/util/auto-pop-stack.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/indexing-facts-tree-extractor.cc b/verible/verilog/tools/kythe/indexing-facts-tree-extractor.cc similarity index 98% rename from verilog/tools/kythe/indexing-facts-tree-extractor.cc rename to verible/verilog/tools/kythe/indexing-facts-tree-extractor.cc index 2bc8f6d7d..22b1e3a1e 100644 --- a/verilog/tools/kythe/indexing-facts-tree-extractor.cc +++ b/verible/verilog/tools/kythe/indexing-facts-tree-extractor.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/indexing-facts-tree-extractor.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree-extractor.h" #include #include @@ -24,33 +24,33 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" #include "absl/strings/strip.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/token-info.h" -#include "common/text/tree-context-visitor.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "verilog/CST/class.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/functions.h" -#include "verilog/CST/identifier.h" -#include "verilog/CST/macro.h" -#include "verilog/CST/module.h" -#include "verilog/CST/net.h" -#include "verilog/CST/package.h" -#include "verilog/CST/parameters.h" -#include "verilog/CST/port.h" -#include "verilog/CST/statement.h" -#include "verilog/CST/tasks.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/tools/kythe/indexing-facts-tree-context.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" -#include "verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/verilog/CST/class.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/functions.h" +#include "verible/verilog/CST/identifier.h" +#include "verible/verilog/CST/macro.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/net.h" +#include "verible/verilog/CST/package.h" +#include "verible/verilog/CST/parameters.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/statement.h" +#include "verible/verilog/CST/tasks.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree-context.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/indexing-facts-tree-extractor.h b/verible/verilog/tools/kythe/indexing-facts-tree-extractor.h similarity index 92% rename from verilog/tools/kythe/indexing-facts-tree-extractor.h rename to verible/verilog/tools/kythe/indexing-facts-tree-extractor.h index d89c38aeb..6cbf4d6b4 100644 --- a/verilog/tools/kythe/indexing-facts-tree-extractor.h +++ b/verible/verilog/tools/kythe/indexing-facts-tree-extractor.h @@ -20,8 +20,8 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/indexing-facts-tree-extractor_test.cc b/verible/verilog/tools/kythe/indexing-facts-tree-extractor_test.cc similarity index 99% rename from verilog/tools/kythe/indexing-facts-tree-extractor_test.cc rename to verible/verilog/tools/kythe/indexing-facts-tree-extractor_test.cc index f78652785..e3ffcd825 100644 --- a/verilog/tools/kythe/indexing-facts-tree-extractor_test.cc +++ b/verible/verilog/tools/kythe/indexing-facts-tree-extractor_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/indexing-facts-tree-extractor.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree-extractor.h" #include #include @@ -21,14 +21,14 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search-test-utils.h" -#include "common/util/file-util.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" -#include "verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" +#include "verible/common/analysis/syntax-tree-search-test-utils.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" #undef EXPECT_OK #define EXPECT_OK(value) EXPECT_TRUE((value).ok()) diff --git a/verilog/tools/kythe/indexing-facts-tree.cc b/verible/verilog/tools/kythe/indexing-facts-tree.cc similarity index 96% rename from verilog/tools/kythe/indexing-facts-tree.cc rename to verible/verilog/tools/kythe/indexing-facts-tree.cc index e8d5b82f1..54b222415 100644 --- a/verilog/tools/kythe/indexing-facts-tree.cc +++ b/verible/verilog/tools/kythe/indexing-facts-tree.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" #include #include @@ -21,7 +21,7 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" -#include "common/util/tree-operations.h" +#include "verible/common/util/tree-operations.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/indexing-facts-tree.h b/verible/verilog/tools/kythe/indexing-facts-tree.h similarity index 97% rename from verilog/tools/kythe/indexing-facts-tree.h rename to verible/verilog/tools/kythe/indexing-facts-tree.h index bc224cf84..37da3a2b5 100644 --- a/verilog/tools/kythe/indexing-facts-tree.h +++ b/verible/verilog/tools/kythe/indexing-facts-tree.h @@ -23,9 +23,9 @@ #include #include "absl/strings/string_view.h" -#include "common/text/token-info.h" -#include "common/util/vector-tree.h" -#include "verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/vector-tree.h" +#include "verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/indexing-facts-tree_test.cc b/verible/verilog/tools/kythe/indexing-facts-tree_test.cc similarity index 97% rename from verilog/tools/kythe/indexing-facts-tree_test.cc rename to verible/verilog/tools/kythe/indexing-facts-tree_test.cc index f2cf5d8a9..3d80f5c64 100644 --- a/verilog/tools/kythe/indexing-facts-tree_test.cc +++ b/verible/verilog/tools/kythe/indexing-facts-tree_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" #include #include #include "absl/strings/string_view.h" -#include "common/util/range.h" #include "gtest/gtest.h" -#include "verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" +#include "verible/common/util/range.h" +#include "verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kythe-facts-extractor.cc b/verible/verilog/tools/kythe/kythe-facts-extractor.cc similarity index 98% rename from verilog/tools/kythe/kythe-facts-extractor.cc rename to verible/verilog/tools/kythe/kythe-facts-extractor.cc index 262464cbe..35d69a348 100644 --- a/verilog/tools/kythe/kythe-facts-extractor.cc +++ b/verible/verilog/tools/kythe/kythe-facts-extractor.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/kythe-facts-extractor.h" +#include "verible/verilog/tools/kythe/kythe-facts-extractor.h" #include #include @@ -29,15 +29,15 @@ #include "absl/strings/string_view.h" #include "absl/time/clock.h" #include "absl/time/time.h" -#include "common/util/auto-pop-stack.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" -#include "verilog/tools/kythe/kythe-facts.h" -#include "verilog/tools/kythe/kythe-schema-constants.h" -#include "verilog/tools/kythe/scope-resolver.h" -#include "verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" +#include "verible/common/util/auto-pop-stack.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-schema-constants.h" +#include "verible/verilog/tools/kythe/scope-resolver.h" +#include "verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kythe-facts-extractor.h b/verible/verilog/tools/kythe/kythe-facts-extractor.h similarity index 94% rename from verilog/tools/kythe/kythe-facts-extractor.h rename to verible/verilog/tools/kythe/kythe-facts-extractor.h index cb63be80a..4f7fc9b31 100644 --- a/verilog/tools/kythe/kythe-facts-extractor.h +++ b/verible/verilog/tools/kythe/kythe-facts-extractor.h @@ -17,9 +17,9 @@ #include -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kythe-facts.cc b/verible/verilog/tools/kythe/kythe-facts.cc similarity index 98% rename from verilog/tools/kythe/kythe-facts.cc rename to verible/verilog/tools/kythe/kythe-facts.cc index 895ddece6..2c52cd0bf 100644 --- a/verilog/tools/kythe/kythe-facts.cc +++ b/verible/verilog/tools/kythe/kythe-facts.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" #include #include @@ -24,7 +24,7 @@ #include "absl/strings/escaping.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/util/spacer.h" +#include "verible/common/util/spacer.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kythe-facts.h b/verible/verilog/tools/kythe/kythe-facts.h similarity index 100% rename from verilog/tools/kythe/kythe-facts.h rename to verible/verilog/tools/kythe/kythe-facts.h diff --git a/verilog/tools/kythe/kythe-facts_test.cc b/verible/verilog/tools/kythe/kythe-facts_test.cc similarity index 98% rename from verilog/tools/kythe/kythe-facts_test.cc rename to verible/verilog/tools/kythe/kythe-facts_test.cc index 0d98a788d..c1e824365 100644 --- a/verilog/tools/kythe/kythe-facts_test.cc +++ b/verible/verilog/tools/kythe/kythe-facts_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" #include diff --git a/verilog/tools/kythe/kythe-proto-output.cc b/verible/verilog/tools/kythe/kythe-proto-output.cc similarity index 96% rename from verilog/tools/kythe/kythe-proto-output.cc rename to verible/verilog/tools/kythe/kythe-proto-output.cc index 4cc0c61a5..011732901 100644 --- a/verilog/tools/kythe/kythe-proto-output.cc +++ b/verible/verilog/tools/kythe/kythe-proto-output.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/kythe-proto-output.h" +#include "verible/verilog/tools/kythe/kythe-proto-output.h" #include #include "google/protobuf/io/coded_stream.h" #include "google/protobuf/io/zero_copy_stream_impl.h" #include "third_party/proto/kythe/storage.pb.h" -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kythe-proto-output.h b/verible/verilog/tools/kythe/kythe-proto-output.h similarity index 91% rename from verilog/tools/kythe/kythe-proto-output.h rename to verible/verilog/tools/kythe/kythe-proto-output.h index f095e9a07..ba873cdf6 100644 --- a/verilog/tools/kythe/kythe-proto-output.h +++ b/verible/verilog/tools/kythe/kythe-proto-output.h @@ -16,8 +16,8 @@ #define VERIBLE_VERILOG_TOOLS_KYTHE_KYTHE_PROTO_OUTPUT_H_ #include "google/protobuf/io/zero_copy_stream_impl.h" -#include "verilog/tools/kythe/kythe-facts-extractor.h" -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-facts-extractor.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kythe-schema-constants.h b/verible/verilog/tools/kythe/kythe-schema-constants.h similarity index 100% rename from verilog/tools/kythe/kythe-schema-constants.h rename to verible/verilog/tools/kythe/kythe-schema-constants.h diff --git a/verilog/tools/kythe/kzip-creator.cc b/verible/verilog/tools/kythe/kzip-creator.cc similarity index 93% rename from verilog/tools/kythe/kzip-creator.cc rename to verible/verilog/tools/kythe/kzip-creator.cc index 2a722f220..3d41a85c2 100644 --- a/verilog/tools/kythe/kzip-creator.cc +++ b/verible/verilog/tools/kythe/kzip-creator.cc @@ -12,17 +12,17 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/kzip-creator.h" +#include "verible/verilog/tools/kythe/kzip-creator.h" #include #include #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/util/file-util.h" -#include "common/util/sha256.h" -#include "common/util/simple-zip.h" #include "third_party/proto/kythe/analysis.pb.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/sha256.h" +#include "verible/common/util/simple-zip.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/kzip-creator.h b/verible/verilog/tools/kythe/kzip-creator.h similarity index 97% rename from verilog/tools/kythe/kzip-creator.h rename to verible/verilog/tools/kythe/kzip-creator.h index c41880a69..89fc254e0 100644 --- a/verilog/tools/kythe/kzip-creator.h +++ b/verible/verilog/tools/kythe/kzip-creator.h @@ -21,8 +21,8 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/util/simple-zip.h" #include "third_party/proto/kythe/analysis.pb.h" +#include "verible/common/util/simple-zip.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/scope-resolver.cc b/verible/verilog/tools/kythe/scope-resolver.cc similarity index 97% rename from verilog/tools/kythe/scope-resolver.cc rename to verible/verilog/tools/kythe/scope-resolver.cc index e6de51aaf..388f239e5 100644 --- a/verilog/tools/kythe/scope-resolver.cc +++ b/verible/verilog/tools/kythe/scope-resolver.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/scope-resolver.h" +#include "verible/verilog/tools/kythe/scope-resolver.h" #include #include @@ -21,8 +21,8 @@ #include "absl/container/flat_hash_set.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/util/logging.h" -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/scope-resolver.h b/verible/verilog/tools/kythe/scope-resolver.h similarity index 99% rename from verilog/tools/kythe/scope-resolver.h rename to verible/verilog/tools/kythe/scope-resolver.h index 64790d6b6..0805cbc52 100644 --- a/verilog/tools/kythe/scope-resolver.h +++ b/verible/verilog/tools/kythe/scope-resolver.h @@ -23,7 +23,7 @@ #include "absl/container/flat_hash_set.h" #include "absl/container/node_hash_map.h" #include "absl/strings/string_view.h" -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/scope-resolver_test.cc b/verible/verilog/tools/kythe/scope-resolver_test.cc similarity index 98% rename from verilog/tools/kythe/scope-resolver_test.cc rename to verible/verilog/tools/kythe/scope-resolver_test.cc index 7376bec77..951ec8a6c 100644 --- a/verilog/tools/kythe/scope-resolver_test.cc +++ b/verible/verilog/tools/kythe/scope-resolver_test.cc @@ -12,14 +12,14 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/scope-resolver.h" +#include "verible/verilog/tools/kythe/scope-resolver.h" #include #include "absl/strings/string_view.h" #include "gmock/gmock.h" #include "gtest/gtest.h" -#include "verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" namespace verilog { namespace kythe { diff --git a/verilog/tools/kythe/testdata/array.sv b/verible/verilog/tools/kythe/testdata/array.sv similarity index 100% rename from verilog/tools/kythe/testdata/array.sv rename to verible/verilog/tools/kythe/testdata/array.sv diff --git a/verilog/tools/kythe/testdata/case.sv b/verible/verilog/tools/kythe/testdata/case.sv similarity index 100% rename from verilog/tools/kythe/testdata/case.sv rename to verible/verilog/tools/kythe/testdata/case.sv diff --git a/verilog/tools/kythe/testdata/class.sv b/verible/verilog/tools/kythe/testdata/class.sv similarity index 100% rename from verilog/tools/kythe/testdata/class.sv rename to verible/verilog/tools/kythe/testdata/class.sv diff --git a/verilog/tools/kythe/testdata/class_constructor.sv b/verible/verilog/tools/kythe/testdata/class_constructor.sv similarity index 100% rename from verilog/tools/kythe/testdata/class_constructor.sv rename to verible/verilog/tools/kythe/testdata/class_constructor.sv diff --git a/verilog/tools/kythe/testdata/class_extends.sv b/verible/verilog/tools/kythe/testdata/class_extends.sv similarity index 100% rename from verilog/tools/kythe/testdata/class_extends.sv rename to verible/verilog/tools/kythe/testdata/class_extends.sv diff --git a/verilog/tools/kythe/testdata/class_extends_with_package.sv b/verible/verilog/tools/kythe/testdata/class_extends_with_package.sv similarity index 100% rename from verilog/tools/kythe/testdata/class_extends_with_package.sv rename to verible/verilog/tools/kythe/testdata/class_extends_with_package.sv diff --git a/verilog/tools/kythe/testdata/class_member.sv b/verible/verilog/tools/kythe/testdata/class_member.sv similarity index 100% rename from verilog/tools/kythe/testdata/class_member.sv rename to verible/verilog/tools/kythe/testdata/class_member.sv diff --git a/verilog/tools/kythe/testdata/class_parameter.sv b/verible/verilog/tools/kythe/testdata/class_parameter.sv similarity index 100% rename from verilog/tools/kythe/testdata/class_parameter.sv rename to verible/verilog/tools/kythe/testdata/class_parameter.sv diff --git a/verilog/tools/kythe/testdata/conditional_generate.sv b/verible/verilog/tools/kythe/testdata/conditional_generate.sv similarity index 100% rename from verilog/tools/kythe/testdata/conditional_generate.sv rename to verible/verilog/tools/kythe/testdata/conditional_generate.sv diff --git a/verilog/tools/kythe/testdata/declarations.sv b/verible/verilog/tools/kythe/testdata/declarations.sv similarity index 100% rename from verilog/tools/kythe/testdata/declarations.sv rename to verible/verilog/tools/kythe/testdata/declarations.sv diff --git a/verilog/tools/kythe/testdata/different_primitive_types.sv b/verible/verilog/tools/kythe/testdata/different_primitive_types.sv similarity index 100% rename from verilog/tools/kythe/testdata/different_primitive_types.sv rename to verible/verilog/tools/kythe/testdata/different_primitive_types.sv diff --git a/verilog/tools/kythe/testdata/do_while_loop.sv b/verible/verilog/tools/kythe/testdata/do_while_loop.sv similarity index 100% rename from verilog/tools/kythe/testdata/do_while_loop.sv rename to verible/verilog/tools/kythe/testdata/do_while_loop.sv diff --git a/verilog/tools/kythe/testdata/enum.sv b/verible/verilog/tools/kythe/testdata/enum.sv similarity index 100% rename from verilog/tools/kythe/testdata/enum.sv rename to verible/verilog/tools/kythe/testdata/enum.sv diff --git a/verilog/tools/kythe/testdata/event_control.sv b/verible/verilog/tools/kythe/testdata/event_control.sv similarity index 100% rename from verilog/tools/kythe/testdata/event_control.sv rename to verible/verilog/tools/kythe/testdata/event_control.sv diff --git a/verilog/tools/kythe/testdata/final.sv b/verible/verilog/tools/kythe/testdata/final.sv similarity index 100% rename from verilog/tools/kythe/testdata/final.sv rename to verible/verilog/tools/kythe/testdata/final.sv diff --git a/verilog/tools/kythe/testdata/for_loop.sv b/verible/verilog/tools/kythe/testdata/for_loop.sv similarity index 100% rename from verilog/tools/kythe/testdata/for_loop.sv rename to verible/verilog/tools/kythe/testdata/for_loop.sv diff --git a/verilog/tools/kythe/testdata/for_loop_uint.sv b/verible/verilog/tools/kythe/testdata/for_loop_uint.sv similarity index 100% rename from verilog/tools/kythe/testdata/for_loop_uint.sv rename to verible/verilog/tools/kythe/testdata/for_loop_uint.sv diff --git a/verilog/tools/kythe/testdata/foreach.sv b/verible/verilog/tools/kythe/testdata/foreach.sv similarity index 100% rename from verilog/tools/kythe/testdata/foreach.sv rename to verible/verilog/tools/kythe/testdata/foreach.sv diff --git a/verilog/tools/kythe/testdata/forever.sv b/verible/verilog/tools/kythe/testdata/forever.sv similarity index 100% rename from verilog/tools/kythe/testdata/forever.sv rename to verible/verilog/tools/kythe/testdata/forever.sv diff --git a/verilog/tools/kythe/testdata/function_and_task.sv b/verible/verilog/tools/kythe/testdata/function_and_task.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_and_task.sv rename to verible/verilog/tools/kythe/testdata/function_and_task.sv diff --git a/verilog/tools/kythe/testdata/function_call_hierarchy.sv b/verible/verilog/tools/kythe/testdata/function_call_hierarchy.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_call_hierarchy.sv rename to verible/verilog/tools/kythe/testdata/function_call_hierarchy.sv diff --git a/verilog/tools/kythe/testdata/function_call_inside_loop.sv b/verible/verilog/tools/kythe/testdata/function_call_inside_loop.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_call_inside_loop.sv rename to verible/verilog/tools/kythe/testdata/function_call_inside_loop.sv diff --git a/verilog/tools/kythe/testdata/function_named_args.sv b/verible/verilog/tools/kythe/testdata/function_named_args.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_named_args.sv rename to verible/verilog/tools/kythe/testdata/function_named_args.sv diff --git a/verilog/tools/kythe/testdata/function_overrides.sv b/verible/verilog/tools/kythe/testdata/function_overrides.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_overrides.sv rename to verible/verilog/tools/kythe/testdata/function_overrides.sv diff --git a/verilog/tools/kythe/testdata/function_port_dimensions.sv b/verible/verilog/tools/kythe/testdata/function_port_dimensions.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_port_dimensions.sv rename to verible/verilog/tools/kythe/testdata/function_port_dimensions.sv diff --git a/verilog/tools/kythe/testdata/function_scoping.sv b/verible/verilog/tools/kythe/testdata/function_scoping.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_scoping.sv rename to verible/verilog/tools/kythe/testdata/function_scoping.sv diff --git a/verilog/tools/kythe/testdata/function_user_defined_port.sv b/verible/verilog/tools/kythe/testdata/function_user_defined_port.sv similarity index 100% rename from verilog/tools/kythe/testdata/function_user_defined_port.sv rename to verible/verilog/tools/kythe/testdata/function_user_defined_port.sv diff --git a/verilog/tools/kythe/testdata/if_condition.sv b/verible/verilog/tools/kythe/testdata/if_condition.sv similarity index 100% rename from verilog/tools/kythe/testdata/if_condition.sv rename to verible/verilog/tools/kythe/testdata/if_condition.sv diff --git a/verilog/tools/kythe/testdata/include_file_test/A.svh b/verible/verilog/tools/kythe/testdata/include_file_test/A.svh similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/A.svh rename to verible/verilog/tools/kythe/testdata/include_file_test/A.svh diff --git a/verilog/tools/kythe/testdata/include_file_test/B.svh b/verible/verilog/tools/kythe/testdata/include_file_test/B.svh similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/B.svh rename to verible/verilog/tools/kythe/testdata/include_file_test/B.svh diff --git a/verilog/tools/kythe/testdata/include_file_test/C.sv b/verible/verilog/tools/kythe/testdata/include_file_test/C.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/C.sv rename to verible/verilog/tools/kythe/testdata/include_file_test/C.sv diff --git a/verilog/tools/kythe/testdata/include_file_test/file_list.txt b/verible/verilog/tools/kythe/testdata/include_file_test/file_list.txt similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/file_list.txt rename to verible/verilog/tools/kythe/testdata/include_file_test/file_list.txt diff --git a/verilog/tools/kythe/testdata/include_file_test/included-file-1.svh b/verible/verilog/tools/kythe/testdata/include_file_test/included-file-1.svh similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/included-file-1.svh rename to verible/verilog/tools/kythe/testdata/include_file_test/included-file-1.svh diff --git a/verilog/tools/kythe/testdata/include_file_test/included-file-2.svh b/verible/verilog/tools/kythe/testdata/include_file_test/included-file-2.svh similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/included-file-2.svh rename to verible/verilog/tools/kythe/testdata/include_file_test/included-file-2.svh diff --git a/verilog/tools/kythe/testdata/include_file_test/module.sv b/verible/verilog/tools/kythe/testdata/include_file_test/module.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/module.sv rename to verible/verilog/tools/kythe/testdata/include_file_test/module.sv diff --git a/verilog/tools/kythe/testdata/include_file_test/module2.sv b/verible/verilog/tools/kythe/testdata/include_file_test/module2.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/module2.sv rename to verible/verilog/tools/kythe/testdata/include_file_test/module2.sv diff --git a/verilog/tools/kythe/testdata/include_file_test/package_with_include.sv b/verible/verilog/tools/kythe/testdata/include_file_test/package_with_include.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/package_with_include.sv rename to verible/verilog/tools/kythe/testdata/include_file_test/package_with_include.sv diff --git a/verilog/tools/kythe/testdata/include_file_test/package_with_include_class.svh b/verible/verilog/tools/kythe/testdata/include_file_test/package_with_include_class.svh similarity index 100% rename from verilog/tools/kythe/testdata/include_file_test/package_with_include_class.svh rename to verible/verilog/tools/kythe/testdata/include_file_test/package_with_include_class.svh diff --git a/verilog/tools/kythe/testdata/include_with_dir_test/E.sv b/verible/verilog/tools/kythe/testdata/include_with_dir_test/E.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_with_dir_test/E.sv rename to verible/verilog/tools/kythe/testdata/include_with_dir_test/E.sv diff --git a/verilog/tools/kythe/testdata/include_with_dir_test/file_list.txt b/verible/verilog/tools/kythe/testdata/include_with_dir_test/file_list.txt similarity index 100% rename from verilog/tools/kythe/testdata/include_with_dir_test/file_list.txt rename to verible/verilog/tools/kythe/testdata/include_with_dir_test/file_list.txt diff --git a/verilog/tools/kythe/testdata/include_with_dir_test/include_dir/D.svh b/verible/verilog/tools/kythe/testdata/include_with_dir_test/include_dir/D.svh similarity index 100% rename from verilog/tools/kythe/testdata/include_with_dir_test/include_dir/D.svh rename to verible/verilog/tools/kythe/testdata/include_with_dir_test/include_dir/D.svh diff --git a/verilog/tools/kythe/testdata/include_with_dir_test/module3.sv b/verible/verilog/tools/kythe/testdata/include_with_dir_test/module3.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_with_dir_test/module3.sv rename to verible/verilog/tools/kythe/testdata/include_with_dir_test/module3.sv diff --git a/verilog/tools/kythe/testdata/include_with_dir_test/module4.sv b/verible/verilog/tools/kythe/testdata/include_with_dir_test/module4.sv similarity index 100% rename from verilog/tools/kythe/testdata/include_with_dir_test/module4.sv rename to verible/verilog/tools/kythe/testdata/include_with_dir_test/module4.sv diff --git a/verilog/tools/kythe/testdata/initial_block.sv b/verible/verilog/tools/kythe/testdata/initial_block.sv similarity index 100% rename from verilog/tools/kythe/testdata/initial_block.sv rename to verible/verilog/tools/kythe/testdata/initial_block.sv diff --git a/verilog/tools/kythe/testdata/interface.sv b/verible/verilog/tools/kythe/testdata/interface.sv similarity index 100% rename from verilog/tools/kythe/testdata/interface.sv rename to verible/verilog/tools/kythe/testdata/interface.sv diff --git a/verilog/tools/kythe/testdata/interface_with_module.sv b/verible/verilog/tools/kythe/testdata/interface_with_module.sv similarity index 100% rename from verilog/tools/kythe/testdata/interface_with_module.sv rename to verible/verilog/tools/kythe/testdata/interface_with_module.sv diff --git a/verilog/tools/kythe/testdata/interface_with_parameter.sv b/verible/verilog/tools/kythe/testdata/interface_with_parameter.sv similarity index 100% rename from verilog/tools/kythe/testdata/interface_with_parameter.sv rename to verible/verilog/tools/kythe/testdata/interface_with_parameter.sv diff --git a/verilog/tools/kythe/testdata/macro.sv b/verible/verilog/tools/kythe/testdata/macro.sv similarity index 100% rename from verilog/tools/kythe/testdata/macro.sv rename to verible/verilog/tools/kythe/testdata/macro.sv diff --git a/verilog/tools/kythe/testdata/macro_call_test.sv b/verible/verilog/tools/kythe/testdata/macro_call_test.sv similarity index 100% rename from verilog/tools/kythe/testdata/macro_call_test.sv rename to verible/verilog/tools/kythe/testdata/macro_call_test.sv diff --git a/verilog/tools/kythe/testdata/macro_with_function.sv b/verible/verilog/tools/kythe/testdata/macro_with_function.sv similarity index 100% rename from verilog/tools/kythe/testdata/macro_with_function.sv rename to verible/verilog/tools/kythe/testdata/macro_with_function.sv diff --git a/verilog/tools/kythe/testdata/module.sv b/verible/verilog/tools/kythe/testdata/module.sv similarity index 100% rename from verilog/tools/kythe/testdata/module.sv rename to verible/verilog/tools/kythe/testdata/module.sv diff --git a/verilog/tools/kythe/testdata/module_actual_and_implicit_port.sv b/verible/verilog/tools/kythe/testdata/module_actual_and_implicit_port.sv similarity index 100% rename from verilog/tools/kythe/testdata/module_actual_and_implicit_port.sv rename to verible/verilog/tools/kythe/testdata/module_actual_and_implicit_port.sv diff --git a/verilog/tools/kythe/testdata/module_extra_test.sv b/verible/verilog/tools/kythe/testdata/module_extra_test.sv similarity index 100% rename from verilog/tools/kythe/testdata/module_extra_test.sv rename to verible/verilog/tools/kythe/testdata/module_extra_test.sv diff --git a/verilog/tools/kythe/testdata/module_instance_in_conditional_generate.sv b/verible/verilog/tools/kythe/testdata/module_instance_in_conditional_generate.sv similarity index 100% rename from verilog/tools/kythe/testdata/module_instance_in_conditional_generate.sv rename to verible/verilog/tools/kythe/testdata/module_instance_in_conditional_generate.sv diff --git a/verilog/tools/kythe/testdata/module_parameter.sv b/verible/verilog/tools/kythe/testdata/module_parameter.sv similarity index 100% rename from verilog/tools/kythe/testdata/module_parameter.sv rename to verible/verilog/tools/kythe/testdata/module_parameter.sv diff --git a/verilog/tools/kythe/testdata/module_port_type_forwarding.sv b/verible/verilog/tools/kythe/testdata/module_port_type_forwarding.sv similarity index 100% rename from verilog/tools/kythe/testdata/module_port_type_forwarding.sv rename to verible/verilog/tools/kythe/testdata/module_port_type_forwarding.sv diff --git a/verilog/tools/kythe/testdata/module_with_same_prefix.sv b/verible/verilog/tools/kythe/testdata/module_with_same_prefix.sv similarity index 100% rename from verilog/tools/kythe/testdata/module_with_same_prefix.sv rename to verible/verilog/tools/kythe/testdata/module_with_same_prefix.sv diff --git a/verilog/tools/kythe/testdata/multi_file_test/file_list.txt b/verible/verilog/tools/kythe/testdata/multi_file_test/file_list.txt similarity index 100% rename from verilog/tools/kythe/testdata/multi_file_test/file_list.txt rename to verible/verilog/tools/kythe/testdata/multi_file_test/file_list.txt diff --git a/verilog/tools/kythe/testdata/multi_file_test/multi-file-1.sv b/verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-1.sv similarity index 100% rename from verilog/tools/kythe/testdata/multi_file_test/multi-file-1.sv rename to verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-1.sv diff --git a/verilog/tools/kythe/testdata/multi_file_test/multi-file-2.sv b/verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-2.sv similarity index 100% rename from verilog/tools/kythe/testdata/multi_file_test/multi-file-2.sv rename to verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-2.sv diff --git a/verilog/tools/kythe/testdata/multi_file_test/multi-file-3.sv b/verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-3.sv similarity index 100% rename from verilog/tools/kythe/testdata/multi_file_test/multi-file-3.sv rename to verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-3.sv diff --git a/verilog/tools/kythe/testdata/multi_file_test/multi-file-4.sv b/verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-4.sv similarity index 100% rename from verilog/tools/kythe/testdata/multi_file_test/multi-file-4.sv rename to verible/verilog/tools/kythe/testdata/multi_file_test/multi-file-4.sv diff --git a/verilog/tools/kythe/testdata/nested_member_access.sv b/verible/verilog/tools/kythe/testdata/nested_member_access.sv similarity index 100% rename from verilog/tools/kythe/testdata/nested_member_access.sv rename to verible/verilog/tools/kythe/testdata/nested_member_access.sv diff --git a/verilog/tools/kythe/testdata/package.sv b/verible/verilog/tools/kythe/testdata/package.sv similarity index 100% rename from verilog/tools/kythe/testdata/package.sv rename to verible/verilog/tools/kythe/testdata/package.sv diff --git a/verilog/tools/kythe/testdata/package_with_primitive.sv b/verible/verilog/tools/kythe/testdata/package_with_primitive.sv similarity index 100% rename from verilog/tools/kythe/testdata/package_with_primitive.sv rename to verible/verilog/tools/kythe/testdata/package_with_primitive.sv diff --git a/verilog/tools/kythe/testdata/primitive_type_with_dimensions.sv b/verible/verilog/tools/kythe/testdata/primitive_type_with_dimensions.sv similarity index 100% rename from verilog/tools/kythe/testdata/primitive_type_with_dimensions.sv rename to verible/verilog/tools/kythe/testdata/primitive_type_with_dimensions.sv diff --git a/verilog/tools/kythe/testdata/primitive_types.sv b/verible/verilog/tools/kythe/testdata/primitive_types.sv similarity index 100% rename from verilog/tools/kythe/testdata/primitive_types.sv rename to verible/verilog/tools/kythe/testdata/primitive_types.sv diff --git a/verilog/tools/kythe/testdata/program.sv b/verible/verilog/tools/kythe/testdata/program.sv similarity index 100% rename from verilog/tools/kythe/testdata/program.sv rename to verible/verilog/tools/kythe/testdata/program.sv diff --git a/verilog/tools/kythe/testdata/propagate_data_type_module_port.sv b/verible/verilog/tools/kythe/testdata/propagate_data_type_module_port.sv similarity index 100% rename from verilog/tools/kythe/testdata/propagate_data_type_module_port.sv rename to verible/verilog/tools/kythe/testdata/propagate_data_type_module_port.sv diff --git a/verilog/tools/kythe/testdata/pure_virtual_function.sv b/verible/verilog/tools/kythe/testdata/pure_virtual_function.sv similarity index 100% rename from verilog/tools/kythe/testdata/pure_virtual_function.sv rename to verible/verilog/tools/kythe/testdata/pure_virtual_function.sv diff --git a/verilog/tools/kythe/testdata/pure_virtual_task.sv b/verible/verilog/tools/kythe/testdata/pure_virtual_task.sv similarity index 100% rename from verilog/tools/kythe/testdata/pure_virtual_task.sv rename to verible/verilog/tools/kythe/testdata/pure_virtual_task.sv diff --git a/verilog/tools/kythe/testdata/qualified_variable_type.sv b/verible/verilog/tools/kythe/testdata/qualified_variable_type.sv similarity index 100% rename from verilog/tools/kythe/testdata/qualified_variable_type.sv rename to verible/verilog/tools/kythe/testdata/qualified_variable_type.sv diff --git a/verilog/tools/kythe/testdata/repeat.sv b/verible/verilog/tools/kythe/testdata/repeat.sv similarity index 100% rename from verilog/tools/kythe/testdata/repeat.sv rename to verible/verilog/tools/kythe/testdata/repeat.sv diff --git a/verilog/tools/kythe/testdata/reversed_definition.sv b/verible/verilog/tools/kythe/testdata/reversed_definition.sv similarity index 100% rename from verilog/tools/kythe/testdata/reversed_definition.sv rename to verible/verilog/tools/kythe/testdata/reversed_definition.sv diff --git a/verilog/tools/kythe/testdata/struct.sv b/verible/verilog/tools/kythe/testdata/struct.sv similarity index 100% rename from verilog/tools/kythe/testdata/struct.sv rename to verible/verilog/tools/kythe/testdata/struct.sv diff --git a/verilog/tools/kythe/testdata/struct_declaration_function_argument.sv b/verible/verilog/tools/kythe/testdata/struct_declaration_function_argument.sv similarity index 100% rename from verilog/tools/kythe/testdata/struct_declaration_function_argument.sv rename to verible/verilog/tools/kythe/testdata/struct_declaration_function_argument.sv diff --git a/verilog/tools/kythe/testdata/struct_inside_union.sv b/verible/verilog/tools/kythe/testdata/struct_inside_union.sv similarity index 100% rename from verilog/tools/kythe/testdata/struct_inside_union.sv rename to verible/verilog/tools/kythe/testdata/struct_inside_union.sv diff --git a/verilog/tools/kythe/testdata/task_scoping.sv b/verible/verilog/tools/kythe/testdata/task_scoping.sv similarity index 100% rename from verilog/tools/kythe/testdata/task_scoping.sv rename to verible/verilog/tools/kythe/testdata/task_scoping.sv diff --git a/verilog/tools/kythe/testdata/type_declaration.sv b/verible/verilog/tools/kythe/testdata/type_declaration.sv similarity index 100% rename from verilog/tools/kythe/testdata/type_declaration.sv rename to verible/verilog/tools/kythe/testdata/type_declaration.sv diff --git a/verilog/tools/kythe/testdata/union.sv b/verible/verilog/tools/kythe/testdata/union.sv similarity index 100% rename from verilog/tools/kythe/testdata/union.sv rename to verible/verilog/tools/kythe/testdata/union.sv diff --git a/verilog/tools/kythe/testdata/union_inside_struct.sv b/verible/verilog/tools/kythe/testdata/union_inside_struct.sv similarity index 100% rename from verilog/tools/kythe/testdata/union_inside_struct.sv rename to verible/verilog/tools/kythe/testdata/union_inside_struct.sv diff --git a/verilog/tools/kythe/testdata/while_loop.sv b/verible/verilog/tools/kythe/testdata/while_loop.sv similarity index 100% rename from verilog/tools/kythe/testdata/while_loop.sv rename to verible/verilog/tools/kythe/testdata/while_loop.sv diff --git a/verilog/tools/kythe/verification_test.sh b/verible/verilog/tools/kythe/verification_test.sh similarity index 100% rename from verilog/tools/kythe/verification_test.sh rename to verible/verilog/tools/kythe/verification_test.sh diff --git a/verilog/tools/kythe/verilog-extractor-indexing-fact-type.cc b/verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.cc similarity index 86% rename from verilog/tools/kythe/verilog-extractor-indexing-fact-type.cc rename to verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.cc index ae33fb0f7..87c54848a 100644 --- a/verilog/tools/kythe/verilog-extractor-indexing-fact-type.cc +++ b/verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" +#include "verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h" #include #include @@ -28,7 +28,7 @@ std::string IndexingFactTypeEnumToString(IndexingFactType indexing_fact_type) { case IndexingFactType::val: \ return #val; -#include "verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc" // IWYU pragma: keep +#include "verible/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc" // IWYU pragma: keep #undef CONSIDER default: diff --git a/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h b/verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h similarity index 100% rename from verilog/tools/kythe/verilog-extractor-indexing-fact-type.h rename to verible/verilog/tools/kythe/verilog-extractor-indexing-fact-type.h diff --git a/verilog/tools/kythe/verilog-kythe-extractor.cc b/verible/verilog/tools/kythe/verilog-kythe-extractor.cc similarity index 92% rename from verilog/tools/kythe/verilog-kythe-extractor.cc rename to verible/verilog/tools/kythe/verilog-kythe-extractor.cc index 1c8d33add..b35501f68 100644 --- a/verilog/tools/kythe/verilog-kythe-extractor.cc +++ b/verible/verilog/tools/kythe/verilog-kythe-extractor.cc @@ -21,17 +21,17 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/util/enum-flags.h" -#include "common/util/init-command-line.h" -#include "common/util/logging.h" -#include "common/util/tree-operations.h" // IWYU pragma: keep -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/kythe/indexing-facts-tree-extractor.h" -#include "verilog/tools/kythe/indexing-facts-tree.h" -#include "verilog/tools/kythe/kythe-facts-extractor.h" -#include "verilog/tools/kythe/kythe-facts.h" -#include "verilog/tools/kythe/kythe-proto-output.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/tree-operations.h" // IWYU pragma: keep +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree-extractor.h" +#include "verible/verilog/tools/kythe/indexing-facts-tree.h" +#include "verible/verilog/tools/kythe/kythe-facts-extractor.h" +#include "verible/verilog/tools/kythe/kythe-facts.h" +#include "verible/verilog/tools/kythe/kythe-proto-output.h" #ifndef _WIN32 #include // for STDOUT_FILENO diff --git a/verilog/tools/kythe/verilog-kythe-kzip-writer.cc b/verible/verilog/tools/kythe/verilog-kythe-kzip-writer.cc similarity index 95% rename from verilog/tools/kythe/verilog-kythe-kzip-writer.cc rename to verible/verilog/tools/kythe/verilog-kythe-kzip-writer.cc index 838b852cd..ee959a445 100644 --- a/verilog/tools/kythe/verilog-kythe-kzip-writer.cc +++ b/verible/verilog/tools/kythe/verilog-kythe-kzip-writer.cc @@ -19,12 +19,12 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/logging.h" #include "third_party/proto/kythe/analysis.pb.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/tools/kythe/kzip-creator.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/tools/kythe/kzip-creator.h" ABSL_FLAG(std::string, filelist_path, "", "The path to the file list which contains the names of SystemVerilog " diff --git a/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc b/verible/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc similarity index 89% rename from verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc rename to verible/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc index a18d7b138..f42f9f787 100644 --- a/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc +++ b/verible/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach.inc @@ -18,4 +18,4 @@ "(and undefine it after)." #endif // CONSIDER -#include "verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach-gen.inc" +#include "verible/verilog/tools/kythe/verilog_extractor_indexing_fact_type_foreach-gen.inc" diff --git a/verilog/tools/kythe/verilog_kythe_extractor_test.sh b/verible/verilog/tools/kythe/verilog_kythe_extractor_test.sh similarity index 100% rename from verilog/tools/kythe/verilog_kythe_extractor_test.sh rename to verible/verilog/tools/kythe/verilog_kythe_extractor_test.sh diff --git a/verilog/tools/lint/BUILD b/verible/verilog/tools/lint/BUILD similarity index 96% rename from verilog/tools/lint/BUILD rename to verible/verilog/tools/lint/BUILD index 78e6d893a..d1d8961df 100644 --- a/verilog/tools/lint/BUILD +++ b/verible/verilog/tools/lint/BUILD @@ -29,14 +29,14 @@ cc_binary( }), visibility = ["//visibility:public"], deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:violation-handler", - "//common/util:enum-flags", - "//common/util:init-command-line", - "//common/util:iterator-range", - "//common/util:logging", - "//verilog/analysis:verilog-linter", - "//verilog/analysis:verilog-linter-configuration", + "//verible/common/analysis:lint-rule-status", + "//verible/common/analysis:violation-handler", + "//verible/common/util:enum-flags", + "//verible/common/util:init-command-line", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-linter", + "//verible/verilog/analysis:verilog-linter-configuration", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", diff --git a/verilog/tools/lint/README.md b/verible/verilog/tools/lint/README.md similarity index 100% rename from verilog/tools/lint/README.md rename to verible/verilog/tools/lint/README.md diff --git a/verilog/tools/lint/lint_tool_test.sh b/verible/verilog/tools/lint/lint_tool_test.sh similarity index 100% rename from verilog/tools/lint/lint_tool_test.sh rename to verible/verilog/tools/lint/lint_tool_test.sh diff --git a/verilog/tools/lint/show_line_col.sh b/verible/verilog/tools/lint/show_line_col.sh similarity index 100% rename from verilog/tools/lint/show_line_col.sh rename to verible/verilog/tools/lint/show_line_col.sh diff --git a/verilog/tools/lint/testdata/always_comb_blocking.sv b/verible/verilog/tools/lint/testdata/always_comb_blocking.sv similarity index 100% rename from verilog/tools/lint/testdata/always_comb_blocking.sv rename to verible/verilog/tools/lint/testdata/always_comb_blocking.sv diff --git a/verilog/tools/lint/testdata/always_comb_module.sv b/verible/verilog/tools/lint/testdata/always_comb_module.sv similarity index 100% rename from verilog/tools/lint/testdata/always_comb_module.sv rename to verible/verilog/tools/lint/testdata/always_comb_module.sv diff --git a/verilog/tools/lint/testdata/always_ff_non_blocking.sv b/verible/verilog/tools/lint/testdata/always_ff_non_blocking.sv similarity index 100% rename from verilog/tools/lint/testdata/always_ff_non_blocking.sv rename to verible/verilog/tools/lint/testdata/always_ff_non_blocking.sv diff --git a/verilog/tools/lint/testdata/bad-id-lex.sv b/verible/verilog/tools/lint/testdata/bad-id-lex.sv similarity index 100% rename from verilog/tools/lint/testdata/bad-id-lex.sv rename to verible/verilog/tools/lint/testdata/bad-id-lex.sv diff --git a/verilog/tools/lint/testdata/banned_declared_name_patterns.sv b/verible/verilog/tools/lint/testdata/banned_declared_name_patterns.sv similarity index 100% rename from verilog/tools/lint/testdata/banned_declared_name_patterns.sv rename to verible/verilog/tools/lint/testdata/banned_declared_name_patterns.sv diff --git a/verilog/tools/lint/testdata/case_missing_default.sv b/verible/verilog/tools/lint/testdata/case_missing_default.sv similarity index 100% rename from verilog/tools/lint/testdata/case_missing_default.sv rename to verible/verilog/tools/lint/testdata/case_missing_default.sv diff --git a/verilog/tools/lint/testdata/constraint_name_style.sv b/verible/verilog/tools/lint/testdata/constraint_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/constraint_name_style.sv rename to verible/verilog/tools/lint/testdata/constraint_name_style.sv diff --git a/verilog/tools/lint/testdata/defparam_usage.sv b/verible/verilog/tools/lint/testdata/defparam_usage.sv similarity index 100% rename from verilog/tools/lint/testdata/defparam_usage.sv rename to verible/verilog/tools/lint/testdata/defparam_usage.sv diff --git a/verilog/tools/lint/testdata/dff_name_style.sv b/verible/verilog/tools/lint/testdata/dff_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/dff_name_style.sv rename to verible/verilog/tools/lint/testdata/dff_name_style.sv diff --git a/verilog/tools/lint/testdata/disable_statement.sv b/verible/verilog/tools/lint/testdata/disable_statement.sv similarity index 100% rename from verilog/tools/lint/testdata/disable_statement.sv rename to verible/verilog/tools/lint/testdata/disable_statement.sv diff --git a/verilog/tools/lint/testdata/endif_comment.sv b/verible/verilog/tools/lint/testdata/endif_comment.sv similarity index 100% rename from verilog/tools/lint/testdata/endif_comment.sv rename to verible/verilog/tools/lint/testdata/endif_comment.sv diff --git a/verilog/tools/lint/testdata/enum_name_style.sv b/verible/verilog/tools/lint/testdata/enum_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/enum_name_style.sv rename to verible/verilog/tools/lint/testdata/enum_name_style.sv diff --git a/verilog/tools/lint/testdata/explicit_begin.sv b/verible/verilog/tools/lint/testdata/explicit_begin.sv similarity index 100% rename from verilog/tools/lint/testdata/explicit_begin.sv rename to verible/verilog/tools/lint/testdata/explicit_begin.sv diff --git a/verilog/tools/lint/testdata/explicit_function_lifetime.sv b/verible/verilog/tools/lint/testdata/explicit_function_lifetime.sv similarity index 100% rename from verilog/tools/lint/testdata/explicit_function_lifetime.sv rename to verible/verilog/tools/lint/testdata/explicit_function_lifetime.sv diff --git a/verilog/tools/lint/testdata/explicit_function_parameter_type.sv b/verible/verilog/tools/lint/testdata/explicit_function_parameter_type.sv similarity index 100% rename from verilog/tools/lint/testdata/explicit_function_parameter_type.sv rename to verible/verilog/tools/lint/testdata/explicit_function_parameter_type.sv diff --git a/verilog/tools/lint/testdata/explicit_parameter_storage_type.sv b/verible/verilog/tools/lint/testdata/explicit_parameter_storage_type.sv similarity index 100% rename from verilog/tools/lint/testdata/explicit_parameter_storage_type.sv rename to verible/verilog/tools/lint/testdata/explicit_parameter_storage_type.sv diff --git a/verilog/tools/lint/testdata/explicit_task_lifetime.sv b/verible/verilog/tools/lint/testdata/explicit_task_lifetime.sv similarity index 100% rename from verilog/tools/lint/testdata/explicit_task_lifetime.sv rename to verible/verilog/tools/lint/testdata/explicit_task_lifetime.sv diff --git a/verilog/tools/lint/testdata/explicit_task_parameter_type.sv b/verible/verilog/tools/lint/testdata/explicit_task_parameter_type.sv similarity index 100% rename from verilog/tools/lint/testdata/explicit_task_parameter_type.sv rename to verible/verilog/tools/lint/testdata/explicit_task_parameter_type.sv diff --git a/verilog/tools/lint/testdata/forbid_consecutive_null_statements.sv b/verible/verilog/tools/lint/testdata/forbid_consecutive_null_statements.sv similarity index 100% rename from verilog/tools/lint/testdata/forbid_consecutive_null_statements.sv rename to verible/verilog/tools/lint/testdata/forbid_consecutive_null_statements.sv diff --git a/verilog/tools/lint/testdata/forbid_line_continuations.sv b/verible/verilog/tools/lint/testdata/forbid_line_continuations.sv similarity index 100% rename from verilog/tools/lint/testdata/forbid_line_continuations.sv rename to verible/verilog/tools/lint/testdata/forbid_line_continuations.sv diff --git a/verilog/tools/lint/testdata/forbid_negative_array_dim.sv b/verible/verilog/tools/lint/testdata/forbid_negative_array_dim.sv similarity index 100% rename from verilog/tools/lint/testdata/forbid_negative_array_dim.sv rename to verible/verilog/tools/lint/testdata/forbid_negative_array_dim.sv diff --git a/verilog/tools/lint/testdata/generate-label-module-body.sv b/verible/verilog/tools/lint/testdata/generate-label-module-body.sv similarity index 100% rename from verilog/tools/lint/testdata/generate-label-module-body.sv rename to verible/verilog/tools/lint/testdata/generate-label-module-body.sv diff --git a/verilog/tools/lint/testdata/generate_begin_module.sv b/verible/verilog/tools/lint/testdata/generate_begin_module.sv similarity index 100% rename from verilog/tools/lint/testdata/generate_begin_module.sv rename to verible/verilog/tools/lint/testdata/generate_begin_module.sv diff --git a/verilog/tools/lint/testdata/generate_label_module.sv b/verible/verilog/tools/lint/testdata/generate_label_module.sv similarity index 100% rename from verilog/tools/lint/testdata/generate_label_module.sv rename to verible/verilog/tools/lint/testdata/generate_label_module.sv diff --git a/verilog/tools/lint/testdata/generate_label_prefix.sv b/verible/verilog/tools/lint/testdata/generate_label_prefix.sv similarity index 100% rename from verilog/tools/lint/testdata/generate_label_prefix.sv rename to verible/verilog/tools/lint/testdata/generate_label_prefix.sv diff --git a/verilog/tools/lint/testdata/instance-ports-module-body.sv b/verible/verilog/tools/lint/testdata/instance-ports-module-body.sv similarity index 100% rename from verilog/tools/lint/testdata/instance-ports-module-body.sv rename to verible/verilog/tools/lint/testdata/instance-ports-module-body.sv diff --git a/verilog/tools/lint/testdata/instance_parameters.sv b/verible/verilog/tools/lint/testdata/instance_parameters.sv similarity index 100% rename from verilog/tools/lint/testdata/instance_parameters.sv rename to verible/verilog/tools/lint/testdata/instance_parameters.sv diff --git a/verilog/tools/lint/testdata/instance_ports.sv b/verible/verilog/tools/lint/testdata/instance_ports.sv similarity index 100% rename from verilog/tools/lint/testdata/instance_ports.sv rename to verible/verilog/tools/lint/testdata/instance_ports.sv diff --git a/verilog/tools/lint/testdata/interface_type_name_style.sv b/verible/verilog/tools/lint/testdata/interface_type_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/interface_type_name_style.sv rename to verible/verilog/tools/lint/testdata/interface_type_name_style.sv diff --git a/verilog/tools/lint/testdata/legacy_generate_region.sv b/verible/verilog/tools/lint/testdata/legacy_generate_region.sv similarity index 100% rename from verilog/tools/lint/testdata/legacy_generate_region.sv rename to verible/verilog/tools/lint/testdata/legacy_generate_region.sv diff --git a/verilog/tools/lint/testdata/legacy_genvar_declaration.sv b/verible/verilog/tools/lint/testdata/legacy_genvar_declaration.sv similarity index 100% rename from verilog/tools/lint/testdata/legacy_genvar_declaration.sv rename to verible/verilog/tools/lint/testdata/legacy_genvar_declaration.sv diff --git a/verilog/tools/lint/testdata/line-length-in-module-body.sv b/verible/verilog/tools/lint/testdata/line-length-in-module-body.sv similarity index 100% rename from verilog/tools/lint/testdata/line-length-in-module-body.sv rename to verible/verilog/tools/lint/testdata/line-length-in-module-body.sv diff --git a/verilog/tools/lint/testdata/localparam_name_style.sv b/verible/verilog/tools/lint/testdata/localparam_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/localparam_name_style.sv rename to verible/verilog/tools/lint/testdata/localparam_name_style.sv diff --git a/verilog/tools/lint/testdata/localparam_name_style_all_caps.sv b/verible/verilog/tools/lint/testdata/localparam_name_style_all_caps.sv similarity index 100% rename from verilog/tools/lint/testdata/localparam_name_style_all_caps.sv rename to verible/verilog/tools/lint/testdata/localparam_name_style_all_caps.sv diff --git a/verilog/tools/lint/testdata/localparam_name_style_camel_case.sv b/verible/verilog/tools/lint/testdata/localparam_name_style_camel_case.sv similarity index 100% rename from verilog/tools/lint/testdata/localparam_name_style_camel_case.sv rename to verible/verilog/tools/lint/testdata/localparam_name_style_camel_case.sv diff --git a/verilog/tools/lint/testdata/localparam_type_name_style.sv b/verible/verilog/tools/lint/testdata/localparam_type_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/localparam_type_name_style.sv rename to verible/verilog/tools/lint/testdata/localparam_type_name_style.sv diff --git a/verilog/tools/lint/testdata/long_line.sv b/verible/verilog/tools/lint/testdata/long_line.sv similarity index 100% rename from verilog/tools/lint/testdata/long_line.sv rename to verible/verilog/tools/lint/testdata/long_line.sv diff --git a/verilog/tools/lint/testdata/macro_name_style.sv b/verible/verilog/tools/lint/testdata/macro_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/macro_name_style.sv rename to verible/verilog/tools/lint/testdata/macro_name_style.sv diff --git a/verilog/tools/lint/testdata/macro_string_concatenation.sv b/verible/verilog/tools/lint/testdata/macro_string_concatenation.sv similarity index 100% rename from verilog/tools/lint/testdata/macro_string_concatenation.sv rename to verible/verilog/tools/lint/testdata/macro_string_concatenation.sv diff --git a/verilog/tools/lint/testdata/mismatched_labels.sv b/verible/verilog/tools/lint/testdata/mismatched_labels.sv similarity index 100% rename from verilog/tools/lint/testdata/mismatched_labels.sv rename to verible/verilog/tools/lint/testdata/mismatched_labels.sv diff --git a/verilog/tools/lint/testdata/module_begin_block.sv b/verible/verilog/tools/lint/testdata/module_begin_block.sv similarity index 100% rename from verilog/tools/lint/testdata/module_begin_block.sv rename to verible/verilog/tools/lint/testdata/module_begin_block.sv diff --git a/verilog/tools/lint/testdata/module_filename.sv b/verible/verilog/tools/lint/testdata/module_filename.sv similarity index 100% rename from verilog/tools/lint/testdata/module_filename.sv rename to verible/verilog/tools/lint/testdata/module_filename.sv diff --git a/verilog/tools/lint/testdata/numeric_format_string_style.sv b/verible/verilog/tools/lint/testdata/numeric_format_string_style.sv similarity index 100% rename from verilog/tools/lint/testdata/numeric_format_string_style.sv rename to verible/verilog/tools/lint/testdata/numeric_format_string_style.sv diff --git a/verilog/tools/lint/testdata/object_creation_name.sv b/verible/verilog/tools/lint/testdata/object_creation_name.sv similarity index 100% rename from verilog/tools/lint/testdata/object_creation_name.sv rename to verible/verilog/tools/lint/testdata/object_creation_name.sv diff --git a/verilog/tools/lint/testdata/one_module_per_file.sv b/verible/verilog/tools/lint/testdata/one_module_per_file.sv similarity index 100% rename from verilog/tools/lint/testdata/one_module_per_file.sv rename to verible/verilog/tools/lint/testdata/one_module_per_file.sv diff --git a/verilog/tools/lint/testdata/package_filename_pkg.sv b/verible/verilog/tools/lint/testdata/package_filename_pkg.sv similarity index 100% rename from verilog/tools/lint/testdata/package_filename_pkg.sv rename to verible/verilog/tools/lint/testdata/package_filename_pkg.sv diff --git a/verilog/tools/lint/testdata/packed_dimensions.sv b/verible/verilog/tools/lint/testdata/packed_dimensions.sv similarity index 100% rename from verilog/tools/lint/testdata/packed_dimensions.sv rename to verible/verilog/tools/lint/testdata/packed_dimensions.sv diff --git a/verilog/tools/lint/testdata/parameter_name_style.sv b/verible/verilog/tools/lint/testdata/parameter_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/parameter_name_style.sv rename to verible/verilog/tools/lint/testdata/parameter_name_style.sv diff --git a/verilog/tools/lint/testdata/parameter_type_name_style.sv b/verible/verilog/tools/lint/testdata/parameter_type_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/parameter_type_name_style.sv rename to verible/verilog/tools/lint/testdata/parameter_type_name_style.sv diff --git a/verilog/tools/lint/testdata/plusarg_assignment.sv b/verible/verilog/tools/lint/testdata/plusarg_assignment.sv similarity index 100% rename from verilog/tools/lint/testdata/plusarg_assignment.sv rename to verible/verilog/tools/lint/testdata/plusarg_assignment.sv diff --git a/verilog/tools/lint/testdata/port_name_suffix.sv b/verible/verilog/tools/lint/testdata/port_name_suffix.sv similarity index 100% rename from verilog/tools/lint/testdata/port_name_suffix.sv rename to verible/verilog/tools/lint/testdata/port_name_suffix.sv diff --git a/verilog/tools/lint/testdata/positive_meaning_parameter_name.sv b/verible/verilog/tools/lint/testdata/positive_meaning_parameter_name.sv similarity index 100% rename from verilog/tools/lint/testdata/positive_meaning_parameter_name.sv rename to verible/verilog/tools/lint/testdata/positive_meaning_parameter_name.sv diff --git a/verilog/tools/lint/testdata/posix_eof.sv b/verible/verilog/tools/lint/testdata/posix_eof.sv similarity index 100% rename from verilog/tools/lint/testdata/posix_eof.sv rename to verible/verilog/tools/lint/testdata/posix_eof.sv diff --git a/verilog/tools/lint/testdata/proper_localparam_declaration.sv b/verible/verilog/tools/lint/testdata/proper_localparam_declaration.sv similarity index 100% rename from verilog/tools/lint/testdata/proper_localparam_declaration.sv rename to verible/verilog/tools/lint/testdata/proper_localparam_declaration.sv diff --git a/verilog/tools/lint/testdata/proper_parameter_declaration.sv b/verible/verilog/tools/lint/testdata/proper_parameter_declaration.sv similarity index 100% rename from verilog/tools/lint/testdata/proper_parameter_declaration.sv rename to verible/verilog/tools/lint/testdata/proper_parameter_declaration.sv diff --git a/verilog/tools/lint/testdata/psprintf.sv b/verible/verilog/tools/lint/testdata/psprintf.sv similarity index 100% rename from verilog/tools/lint/testdata/psprintf.sv rename to verible/verilog/tools/lint/testdata/psprintf.sv diff --git a/verilog/tools/lint/testdata/shadow_parameter.sv b/verible/verilog/tools/lint/testdata/shadow_parameter.sv similarity index 100% rename from verilog/tools/lint/testdata/shadow_parameter.sv rename to verible/verilog/tools/lint/testdata/shadow_parameter.sv diff --git a/verilog/tools/lint/testdata/signal_name_style.sv b/verible/verilog/tools/lint/testdata/signal_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/signal_name_style.sv rename to verible/verilog/tools/lint/testdata/signal_name_style.sv diff --git a/verilog/tools/lint/testdata/struct_name_style.sv b/verible/verilog/tools/lint/testdata/struct_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/struct_name_style.sv rename to verible/verilog/tools/lint/testdata/struct_name_style.sv diff --git a/verilog/tools/lint/testdata/suggest_parentheses_example.sv b/verible/verilog/tools/lint/testdata/suggest_parentheses_example.sv similarity index 100% rename from verilog/tools/lint/testdata/suggest_parentheses_example.sv rename to verible/verilog/tools/lint/testdata/suggest_parentheses_example.sv diff --git a/verilog/tools/lint/testdata/suspicious_semicolon.sv b/verible/verilog/tools/lint/testdata/suspicious_semicolon.sv similarity index 100% rename from verilog/tools/lint/testdata/suspicious_semicolon.sv rename to verible/verilog/tools/lint/testdata/suspicious_semicolon.sv diff --git a/verilog/tools/lint/testdata/tabs.sv b/verible/verilog/tools/lint/testdata/tabs.sv similarity index 100% rename from verilog/tools/lint/testdata/tabs.sv rename to verible/verilog/tools/lint/testdata/tabs.sv diff --git a/verilog/tools/lint/testdata/trailing_spaces.sv b/verible/verilog/tools/lint/testdata/trailing_spaces.sv similarity index 100% rename from verilog/tools/lint/testdata/trailing_spaces.sv rename to verible/verilog/tools/lint/testdata/trailing_spaces.sv diff --git a/verilog/tools/lint/testdata/truncated_numeric_literal.sv b/verible/verilog/tools/lint/testdata/truncated_numeric_literal.sv similarity index 100% rename from verilog/tools/lint/testdata/truncated_numeric_literal.sv rename to verible/verilog/tools/lint/testdata/truncated_numeric_literal.sv diff --git a/verilog/tools/lint/testdata/typedef_enums.sv b/verible/verilog/tools/lint/testdata/typedef_enums.sv similarity index 100% rename from verilog/tools/lint/testdata/typedef_enums.sv rename to verible/verilog/tools/lint/testdata/typedef_enums.sv diff --git a/verilog/tools/lint/testdata/typedef_structs.sv b/verible/verilog/tools/lint/testdata/typedef_structs.sv similarity index 100% rename from verilog/tools/lint/testdata/typedef_structs.sv rename to verible/verilog/tools/lint/testdata/typedef_structs.sv diff --git a/verilog/tools/lint/testdata/typedef_unions.sv b/verible/verilog/tools/lint/testdata/typedef_unions.sv similarity index 100% rename from verilog/tools/lint/testdata/typedef_unions.sv rename to verible/verilog/tools/lint/testdata/typedef_unions.sv diff --git a/verilog/tools/lint/testdata/undersized_binary_literal.sv b/verible/verilog/tools/lint/testdata/undersized_binary_literal.sv similarity index 100% rename from verilog/tools/lint/testdata/undersized_binary_literal.sv rename to verible/verilog/tools/lint/testdata/undersized_binary_literal.sv diff --git a/verilog/tools/lint/testdata/union_name_style.sv b/verible/verilog/tools/lint/testdata/union_name_style.sv similarity index 100% rename from verilog/tools/lint/testdata/union_name_style.sv rename to verible/verilog/tools/lint/testdata/union_name_style.sv diff --git a/verilog/tools/lint/testdata/unpacked_dimensions.sv b/verible/verilog/tools/lint/testdata/unpacked_dimensions.sv similarity index 100% rename from verilog/tools/lint/testdata/unpacked_dimensions.sv rename to verible/verilog/tools/lint/testdata/unpacked_dimensions.sv diff --git a/verilog/tools/lint/testdata/uvm_macro_semicolon.sv b/verible/verilog/tools/lint/testdata/uvm_macro_semicolon.sv similarity index 100% rename from verilog/tools/lint/testdata/uvm_macro_semicolon.sv rename to verible/verilog/tools/lint/testdata/uvm_macro_semicolon.sv diff --git a/verilog/tools/lint/testdata/uvm_warning.sv b/verible/verilog/tools/lint/testdata/uvm_warning.sv similarity index 100% rename from verilog/tools/lint/testdata/uvm_warning.sv rename to verible/verilog/tools/lint/testdata/uvm_warning.sv diff --git a/verilog/tools/lint/testdata/void-cast.sv b/verible/verilog/tools/lint/testdata/void-cast.sv similarity index 100% rename from verilog/tools/lint/testdata/void-cast.sv rename to verible/verilog/tools/lint/testdata/void-cast.sv diff --git a/verilog/tools/lint/verilog-lint.cc b/verible/verilog/tools/lint/verilog-lint.cc similarity index 95% rename from verilog/tools/lint/verilog-lint.cc rename to verible/verilog/tools/lint/verilog-lint.cc index c6501046f..02e5406b0 100644 --- a/verilog/tools/lint/verilog-lint.cc +++ b/verible/verilog/tools/lint/verilog-lint.cc @@ -30,14 +30,14 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/analysis/violation-handler.h" -#include "common/util/enum-flags.h" -#include "common/util/init-command-line.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" // for operator<<, LOG, LogMessage, etc -#include "verilog/analysis/verilog-linter-configuration.h" -#include "verilog/analysis/verilog-linter.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/analysis/violation-handler.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" // for operator<<, LOG, LogMessage, etc +#include "verible/verilog/analysis/verilog-linter-configuration.h" +#include "verible/verilog/analysis/verilog-linter.h" // From least to most disruptive enum class AutofixMode { diff --git a/verilog/tools/lint/verilog_style_lint.bzl b/verible/verilog/tools/lint/verilog_style_lint.bzl similarity index 97% rename from verilog/tools/lint/verilog_style_lint.bzl rename to verible/verilog/tools/lint/verilog_style_lint.bzl index 007e57856..cf698a62e 100644 --- a/verilog/tools/lint/verilog_style_lint.bzl +++ b/verible/verilog/tools/lint/verilog_style_lint.bzl @@ -16,7 +16,7 @@ Usage: load( - "//verilog/tools:verilog_style_lint.bzl", + "//verible/verilog/tools:verilog_style_lint.bzl", "verilog_style_lint", ) @@ -36,9 +36,9 @@ or if you want to manually list files to scan: load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib") -_syntax_tool = "//verilog/tools/syntax:verible-verilog-syntax" +_syntax_tool = "//verible/verilog/tools/syntax:verible-verilog-syntax" -_linter_tool = "//verilog/tools/lint:verible-verilog-lint" +_linter_tool = "//verible/verilog/tools/lint:verible-verilog-lint" _verilog_extensions = [ ".v", diff --git a/verible/verilog/tools/ls/BUILD b/verible/verilog/tools/ls/BUILD new file mode 100644 index 000000000..aee18e4ec --- /dev/null +++ b/verible/verilog/tools/ls/BUILD @@ -0,0 +1,317 @@ +# This package contains a SystemVerilog language server [1] implementation. +# +# [1]: https://microsoft.github.io/language-server-protocol/specification + +load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib") +load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE") + +package( + default_applicable_licenses = ["//:license"], + default_visibility = ["//visibility:private"], + features = ["layering_check"], +) + +cc_library( + name = "autoexpand", + srcs = ["autoexpand.cc"], + hdrs = ["autoexpand.h"], + deps = [ + ":lsp-parse-buffer", + ":symbol-table-handler", + "//verible/common/analysis:syntax-tree-search", + "//verible/common/lsp:lsp-protocol", + "//verible/common/strings:line-column-map", + "//verible/common/strings:position", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/util:logging", + "//verible/verilog/CST:declaration", + "//verible/verilog/CST:dimensions", + "//verible/verilog/CST:module", + "//verible/verilog/CST:net", + "//verible/verilog/CST:port", + "//verible/verilog/CST:type", + "//verible/verilog/CST:verilog-matchers", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/formatting:format-style", + "//verible/verilog/formatting:format-style-init", + "//verible/verilog/formatting:formatter", + "@com_google_absl//absl/container:flat_hash_map", + "@com_google_absl//absl/container:flat_hash_set", + "@com_google_absl//absl/container:node_hash_map", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_googlesource_code_re2//:re2", + ], +) + +cc_library( + name = "lsp-parse-buffer", + srcs = ["lsp-parse-buffer.cc"], + hdrs = ["lsp-parse-buffer.h"], + deps = [ + "//verible/common/analysis:lint-rule-status", + "//verible/common/lsp:lsp-file-utils", + "//verible/common/lsp:lsp-text-buffer", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis:verilog-linter", + "//verible/verilog/analysis:verilog-linter-configuration", + "@com_google_absl//absl/status", + "@com_google_absl//absl/status:statusor", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_test( + name = "lsp-parse-buffer_test", + srcs = ["lsp-parse-buffer_test.cc"], + deps = [ + ":lsp-parse-buffer", + "//verible/common/lsp:lsp-text-buffer", + "//verible/common/text:text-structure", + "@com_google_absl//absl/strings", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "verible-lsp-adapter", + srcs = ["verible-lsp-adapter.cc"], + hdrs = ["verible-lsp-adapter.h"], + deps = [ + ":autoexpand", + ":document-symbol-filler", + ":lsp-parse-buffer", + ":symbol-table-handler", + "//verible/common/analysis:file-analyzer", + "//verible/common/analysis:lint-rule-status", + "//verible/common/lsp:lsp-protocol", + "//verible/common/lsp:lsp-protocol-enums", + "//verible/common/lsp:lsp-protocol-operators", + "//verible/common/strings:line-column-map", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:interval", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis:verilog-linter", + "//verible/verilog/formatting:format-style", + "//verible/verilog/formatting:format-style-init", + "//verible/verilog/formatting:formatter", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@jsonhpp//:singleheader-json", + ], +) + +cc_library( + name = "lsp-conversion", + hdrs = ["lsp-conversion.h"], + deps = [ + "//verible/common/lsp:lsp-protocol", + "//verible/common/strings:line-column-map", + ], +) + +cc_library( + name = "document-symbol-filler", + srcs = ["document-symbol-filler.cc"], + hdrs = ["document-symbol-filler.h"], + deps = [ + ":lsp-conversion", + "//verible/common/lsp:lsp-protocol", + "//verible/common/lsp:lsp-protocol-enums", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:tree-utils", + "//verible/common/text:visitors", + "//verible/common/util:value-saver", + "//verible/verilog/CST:class", + "//verible/verilog/CST:functions", + "//verible/verilog/CST:module", + "//verible/verilog/CST:package", + "//verible/verilog/CST:seq-block", + "//verible/verilog/CST:verilog-nonterminals", + "@jsonhpp//:singleheader-json", + ], +) + +cc_library( + name = "hover", + srcs = ["hover.cc"], + hdrs = ["hover.h"], + deps = [ + ":lsp-parse-buffer", + ":symbol-table-handler", + "//verible/common/lsp:lsp-protocol", + "//verible/common/text:concrete-syntax-leaf", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:symbol", + "//verible/common/text:token-info", + "//verible/common/text:tree-context-visitor", + "//verible/common/text:tree-utils", + "//verible/common/util:casts", + "//verible/common/util:range", + "//verible/verilog/CST:seq-block", + "//verible/verilog/CST:verilog-nonterminals", + "//verible/verilog/analysis:symbol-table", + "//verible/verilog/parser:verilog-token-enum", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + ], +) + +cc_library( + name = "symbol-table-handler", + srcs = ["symbol-table-handler.cc"], + hdrs = ["symbol-table-handler.h"], + deps = [ + ":lsp-conversion", + ":lsp-parse-buffer", + "//verible/common/lsp:lsp-file-utils", + "//verible/common/lsp:lsp-protocol", + "//verible/common/strings:line-column-map", + "//verible/common/text:symbol", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/util:file-util", + "//verible/common/util:iterator-adaptors", + "//verible/common/util:logging", + "//verible/common/util:range", + "//verible/verilog/analysis:symbol-table", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis:verilog-filelist", + "//verible/verilog/analysis:verilog-project", + "@com_google_absl//absl/container:flat_hash_map", + "@com_google_absl//absl/flags:flag", + "@com_google_absl//absl/log", + "@com_google_absl//absl/status", + "@com_google_absl//absl/status:statusor", + "@com_google_absl//absl/strings:str_format", + "@com_google_absl//absl/strings:string_view", + "@com_google_absl//absl/time", + "@com_google_absl//absl/types:optional", + ], +) + +cc_test( + name = "symbol-table-handler_test", + srcs = ["symbol-table-handler_test.cc"], + deps = [ + ":lsp-parse-buffer", + ":symbol-table-handler", + "//verible/common/lsp:lsp-file-utils", + "//verible/common/lsp:lsp-protocol", + "//verible/common/lsp:lsp-text-buffer", + "//verible/common/util:file-util", + "//verible/verilog/analysis:verilog-project", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + ], +) + +cc_library( + name = "verilog-language-server", + srcs = ["verilog-language-server.cc"], + hdrs = ["verilog-language-server.h"], + deps = [ + ":hover", + ":lsp-parse-buffer", + ":symbol-table-handler", + ":verible-lsp-adapter", + "//verible/common/lsp:json-rpc-dispatcher", + "//verible/common/lsp:lsp-file-utils", + "//verible/common/lsp:lsp-protocol", + "//verible/common/lsp:lsp-text-buffer", + "//verible/common/lsp:message-stream-splitter", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:logging", + "//verible/verilog/analysis:verilog-project", + "@com_google_absl//absl/flags:flag", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + "@jsonhpp//:singleheader-json", + ], +) + +cc_test( + name = "verilog-language-server_test", + srcs = ["verilog-language-server_test.cc"], + deps = [ + ":verilog-language-server", + "//verible/common/lsp:lsp-file-utils", + "//verible/common/lsp:lsp-protocol", + "//verible/common/lsp:lsp-protocol-enums", + "//verible/common/strings:line-column-map", + "//verible/common/util:file-util", + "//verible/verilog/analysis:verilog-linter", + "@com_google_absl//absl/flags:flag", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + "@jsonhpp//:singleheader-json", + ], +) + +cc_binary( + name = "verible-verilog-ls", + srcs = ["verible-verilog-ls.cc"], + features = STATIC_EXECUTABLES_FEATURE, + visibility = ["//visibility:public"], + deps = [ + ":verilog-language-server", + "//verible/common/util:init-command-line", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings:string_view", + ], +) + +sh_test_with_runfiles_lib( + name = "verible-verilog-ls_test", + size = "small", + srcs = ["verible-verilog-ls_test.sh"], + args = [ + "$(location :verible-verilog-ls)", + "$(location //verible/common/lsp:json-rpc-expect)", + ], + data = [ + ":verible-verilog-ls", + "//verible/common/lsp:json-rpc-expect", + ], + deps = [], +) + +cc_test( + name = "autoexpand_test", + srcs = ["autoexpand_test.cc"], + deps = [ + ":autoexpand", + ":lsp-parse-buffer", + ":symbol-table-handler", + "//verible/common/lsp:lsp-protocol", + "//verible/common/lsp:lsp-text-buffer", + "//verible/common/text:text-structure", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis:verilog-project", + "//verible/verilog/formatting:format-style", + "//verible/verilog/formatting:format-style-init", + "//verible/verilog/formatting:formatter", + "@com_google_absl//absl/status", + "@com_google_absl//absl/strings", + "@com_google_absl//absl/strings:string_view", + "@com_google_googletest//:gtest", + "@com_google_googletest//:gtest_main", + "@jsonhpp//:singleheader-json", + ], +) diff --git a/verilog/tools/ls/README.md b/verible/verilog/tools/ls/README.md similarity index 100% rename from verilog/tools/ls/README.md rename to verible/verilog/tools/ls/README.md diff --git a/verilog/tools/ls/autoexpand.cc b/verible/verilog/tools/ls/autoexpand.cc similarity index 98% rename from verilog/tools/ls/autoexpand.cc rename to verible/verilog/tools/ls/autoexpand.cc index cb4e24717..ec0700621 100644 --- a/verilog/tools/ls/autoexpand.cc +++ b/verible/verilog/tools/ls/autoexpand.cc @@ -13,7 +13,7 @@ // limitations under the License. // -#include "verilog/tools/ls/autoexpand.h" +#include "verible/verilog/tools/ls/autoexpand.h" #include #include @@ -36,30 +36,30 @@ #include "absl/strings/numbers.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/syntax-tree-search.h" -#include "common/lsp/lsp-protocol.h" -#include "common/strings/line-column-map.h" -#include "common/strings/position.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/logging.h" #include "re2/re2.h" -#include "verilog/CST/declaration.h" -#include "verilog/CST/dimensions.h" -#include "verilog/CST/module.h" -#include "verilog/CST/net.h" -#include "verilog/CST/port.h" -#include "verilog/CST/type.h" -#include "verilog/CST/verilog-matchers.h" // IWYU pragma: keep -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/formatting/format-style-init.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/formatter.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/analysis/syntax-tree-search.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/strings/position.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/CST/declaration.h" +#include "verible/verilog/CST/dimensions.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/net.h" +#include "verible/verilog/CST/port.h" +#include "verible/verilog/CST/type.h" +#include "verible/verilog/CST/verilog-matchers.h" // IWYU pragma: keep +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/formatting/format-style-init.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/formatter.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" namespace verilog { using verible::FindLastSubtree; diff --git a/verilog/tools/ls/autoexpand.h b/verible/verilog/tools/ls/autoexpand.h similarity index 87% rename from verilog/tools/ls/autoexpand.h rename to verible/verilog/tools/ls/autoexpand.h index c9a5362a0..e058d55f5 100644 --- a/verilog/tools/ls/autoexpand.h +++ b/verible/verilog/tools/ls/autoexpand.h @@ -18,9 +18,9 @@ #include -#include "common/lsp/lsp-protocol.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" // Functions for Emacs' Verilog-Mode-style AUTO expansion. diff --git a/verilog/tools/ls/autoexpand_test.cc b/verible/verilog/tools/ls/autoexpand_test.cc similarity index 99% rename from verilog/tools/ls/autoexpand_test.cc rename to verible/verilog/tools/ls/autoexpand_test.cc index d4be9218f..3cdfcf713 100644 --- a/verilog/tools/ls/autoexpand_test.cc +++ b/verible/verilog/tools/ls/autoexpand_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/ls/autoexpand.h" +#include "verible/verilog/tools/ls/autoexpand.h" #include #include @@ -26,18 +26,18 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/lsp/lsp-protocol.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/text/text-structure.h" #include "gtest/gtest.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/formatting/format-style-init.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/formatter.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/text/text-structure.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/formatting/format-style-init.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/formatter.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" namespace verilog { namespace { diff --git a/verilog/tools/ls/document-symbol-filler.cc b/verible/verilog/tools/ls/document-symbol-filler.cc similarity index 91% rename from verilog/tools/ls/document-symbol-filler.cc rename to verible/verilog/tools/ls/document-symbol-filler.cc index 66eb7661b..be94b9fa2 100644 --- a/verilog/tools/ls/document-symbol-filler.cc +++ b/verible/verilog/tools/ls/document-symbol-filler.cc @@ -12,24 +12,24 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/ls/document-symbol-filler.h" +#include "verible/verilog/tools/ls/document-symbol-filler.h" #include -#include "common/lsp/lsp-protocol-enums.h" -#include "common/lsp/lsp-protocol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/tree-utils.h" -#include "common/util/value-saver.h" #include "nlohmann/json.hpp" -#include "verilog/CST/class.h" -#include "verilog/CST/functions.h" -#include "verilog/CST/module.h" -#include "verilog/CST/package.h" -#include "verilog/CST/seq-block.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/tools/ls/lsp-conversion.h" +#include "verible/common/lsp/lsp-protocol-enums.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/value-saver.h" +#include "verible/verilog/CST/class.h" +#include "verible/verilog/CST/functions.h" +#include "verible/verilog/CST/module.h" +#include "verible/verilog/CST/package.h" +#include "verible/verilog/CST/seq-block.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/tools/ls/lsp-conversion.h" // Magic value to hint that we have to fill out the start range. static constexpr int kUninitializedStartLine = -1; diff --git a/verilog/tools/ls/document-symbol-filler.h b/verible/verilog/tools/ls/document-symbol-filler.h similarity index 91% rename from verilog/tools/ls/document-symbol-filler.h rename to verible/verilog/tools/ls/document-symbol-filler.h index d3f505c9d..0efcd8446 100644 --- a/verilog/tools/ls/document-symbol-filler.h +++ b/verible/verilog/tools/ls/document-symbol-filler.h @@ -15,10 +15,10 @@ #ifndef VERILOG_TOOLS_LS_DOCUMENT_SYMBOL_FILLER_H #define VERILOG_TOOLS_LS_DOCUMENT_SYMBOL_FILLER_H -#include "common/lsp/lsp-protocol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/text/visitors.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/visitors.h" namespace verilog { class DocumentSymbolFiller : public verible::SymbolVisitor { diff --git a/verilog/tools/ls/hover.cc b/verible/verilog/tools/ls/hover.cc similarity index 88% rename from verilog/tools/ls/hover.cc rename to verible/verilog/tools/ls/hover.cc index fd9f30f0f..036a35a77 100644 --- a/verilog/tools/ls/hover.cc +++ b/verible/verilog/tools/ls/hover.cc @@ -13,28 +13,28 @@ // limitations under the License. // -#include "verilog/tools/ls/hover.h" +#include "verible/verilog/tools/ls/hover.h" #include #include #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/lsp/lsp-protocol.h" -#include "common/text/concrete-syntax-leaf.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "common/text/tree-context-visitor.h" -#include "common/text/tree-utils.h" -#include "common/util/casts.h" -#include "common/util/range.h" -#include "verilog/CST/seq-block.h" -#include "verilog/CST/verilog-nonterminals.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/text/concrete-syntax-leaf.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/common/text/tree-context-visitor.h" +#include "verible/common/text/tree-utils.h" +#include "verible/common/util/casts.h" +#include "verible/common/util/range.h" +#include "verible/verilog/CST/seq-block.h" +#include "verible/verilog/CST/verilog-nonterminals.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" namespace verilog { diff --git a/verilog/tools/ls/hover.h b/verible/verilog/tools/ls/hover.h similarity index 86% rename from verilog/tools/ls/hover.h rename to verible/verilog/tools/ls/hover.h index 54221b565..9954b9da7 100644 --- a/verilog/tools/ls/hover.h +++ b/verible/verilog/tools/ls/hover.h @@ -16,9 +16,9 @@ #ifndef VERILOG_TOOLS_LS_HOVER_H_INCLUDED #define VERILOG_TOOLS_LS_HOVER_H_INCLUDED -#include "common/lsp/lsp-protocol.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" namespace verilog { // Provides hover information for given location diff --git a/verilog/tools/ls/lsp-conversion.h b/verible/verilog/tools/ls/lsp-conversion.h similarity index 91% rename from verilog/tools/ls/lsp-conversion.h rename to verible/verilog/tools/ls/lsp-conversion.h index c3096787c..047c4b0e9 100644 --- a/verilog/tools/ls/lsp-conversion.h +++ b/verible/verilog/tools/ls/lsp-conversion.h @@ -12,8 +12,8 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "common/lsp/lsp-protocol.h" -#include "common/strings/line-column-map.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/line-column-map.h" // Simple conversion functions between verible data structures and Language // Server Protocol structs. diff --git a/verilog/tools/ls/lsp-parse-buffer.cc b/verible/verilog/tools/ls/lsp-parse-buffer.cc similarity index 91% rename from verilog/tools/ls/lsp-parse-buffer.cc rename to verible/verilog/tools/ls/lsp-parse-buffer.cc index 1f6305535..7d9328f23 100644 --- a/verilog/tools/ls/lsp-parse-buffer.cc +++ b/verible/verilog/tools/ls/lsp-parse-buffer.cc @@ -13,7 +13,7 @@ // limitations under the License. // -#include "verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" #include #include @@ -25,13 +25,13 @@ #include "absl/status/status.h" #include "absl/status/statusor.h" #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/lsp/lsp-file-utils.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/util/logging.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-linter-configuration.h" -#include "verilog/analysis/verilog-linter.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-linter-configuration.h" +#include "verible/verilog/analysis/verilog-linter.h" namespace verilog { static absl::StatusOr> RunLinter( diff --git a/verilog/tools/ls/lsp-parse-buffer.h b/verible/verilog/tools/ls/lsp-parse-buffer.h similarity index 96% rename from verilog/tools/ls/lsp-parse-buffer.h rename to verible/verilog/tools/ls/lsp-parse-buffer.h index 31c384154..586ad9d95 100644 --- a/verilog/tools/ls/lsp-parse-buffer.h +++ b/verible/verilog/tools/ls/lsp-parse-buffer.h @@ -24,10 +24,10 @@ #include #include "absl/strings/string_view.h" -#include "common/analysis/lint-rule-status.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/util/logging.h" -#include "verilog/analysis/verilog-analyzer.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-analyzer.h" // ParseBuffer and BufferTrackerContainer are tracking fully parsed content // and are corresponding to verible::lsp::EditTextBuffer and diff --git a/verilog/tools/ls/lsp-parse-buffer_test.cc b/verible/verilog/tools/ls/lsp-parse-buffer_test.cc similarity index 96% rename from verilog/tools/ls/lsp-parse-buffer_test.cc rename to verible/verilog/tools/ls/lsp-parse-buffer_test.cc index e42307dc5..991e92315 100644 --- a/verilog/tools/ls/lsp-parse-buffer_test.cc +++ b/verible/verilog/tools/ls/lsp-parse-buffer_test.cc @@ -12,15 +12,15 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" #include #include #include "absl/strings/match.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/text/text-structure.h" #include "gtest/gtest.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/text/text-structure.h" namespace verilog { namespace { diff --git a/verilog/tools/ls/symbol-table-handler.cc b/verible/verilog/tools/ls/symbol-table-handler.cc similarity index 95% rename from verilog/tools/ls/symbol-table-handler.cc rename to verible/verilog/tools/ls/symbol-table-handler.cc index f414d46cb..ef8bee5c7 100644 --- a/verilog/tools/ls/symbol-table-handler.cc +++ b/verible/verilog/tools/ls/symbol-table-handler.cc @@ -13,7 +13,7 @@ // limitations under the License. // -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" #include #include @@ -32,22 +32,22 @@ #include "absl/strings/string_view.h" #include "absl/time/clock.h" #include "absl/time/time.h" -#include "common/lsp/lsp-file-utils.h" -#include "common/lsp/lsp-protocol.h" -#include "common/strings/line-column-map.h" -#include "common/text/symbol.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/file-util.h" -#include "common/util/iterator-adaptors.h" -#include "common/util/logging.h" -#include "common/util/range.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/ls/lsp-conversion.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/iterator-adaptors.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/range.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/ls/lsp-conversion.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" ABSL_FLAG(std::string, file_list_path, "verible.filelist", "Name of the file with Verible FileList for the project"); diff --git a/verilog/tools/ls/symbol-table-handler.h b/verible/verilog/tools/ls/symbol-table-handler.h similarity index 94% rename from verilog/tools/ls/symbol-table-handler.h rename to verible/verilog/tools/ls/symbol-table-handler.h index 48e83099d..75cb6bde2 100644 --- a/verilog/tools/ls/symbol-table-handler.h +++ b/verible/verilog/tools/ls/symbol-table-handler.h @@ -25,14 +25,14 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" #include "absl/types/optional.h" -#include "common/lsp/lsp-protocol.h" -#include "common/strings/line-column-map.h" -#include "common/text/symbol.h" -#include "common/text/token-info.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/symbol.h" +#include "verible/common/text/token-info.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" namespace verilog { diff --git a/verilog/tools/ls/symbol-table-handler_test.cc b/verible/verilog/tools/ls/symbol-table-handler_test.cc similarity index 98% rename from verilog/tools/ls/symbol-table-handler_test.cc rename to verible/verilog/tools/ls/symbol-table-handler_test.cc index 86b2390af..84b79eba9 100644 --- a/verilog/tools/ls/symbol-table-handler_test.cc +++ b/verible/verilog/tools/ls/symbol-table-handler_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" #include #include @@ -21,13 +21,13 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lsp/lsp-file-utils.h" -#include "common/lsp/lsp-protocol.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/util/file-util.h" #include "gtest/gtest.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/util/file-util.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" namespace verilog { namespace { diff --git a/verilog/tools/ls/verible-lsp-adapter.cc b/verible/verilog/tools/ls/verible-lsp-adapter.cc similarity index 92% rename from verilog/tools/ls/verible-lsp-adapter.cc rename to verible/verilog/tools/ls/verible-lsp-adapter.cc index 2d0136d73..ceeb6ebaa 100644 --- a/verilog/tools/ls/verible-lsp-adapter.cc +++ b/verible/verilog/tools/ls/verible-lsp-adapter.cc @@ -13,7 +13,7 @@ // limitations under the License. // -#include "verilog/tools/ls/verible-lsp-adapter.h" +#include "verible/verilog/tools/ls/verible-lsp-adapter.h" #include #include @@ -21,26 +21,26 @@ #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/analysis/file-analyzer.h" -#include "common/analysis/lint-rule-status.h" -#include "common/lsp/lsp-protocol-enums.h" -#include "common/lsp/lsp-protocol-operators.h" -#include "common/lsp/lsp-protocol.h" -#include "common/strings/line-column-map.h" -#include "common/text/text-structure.h" -#include "common/text/token-info.h" -#include "common/util/interval.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-linter.h" -#include "verilog/formatting/format-style-init.h" -#include "verilog/formatting/format-style.h" -#include "verilog/formatting/formatter.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/tools/ls/autoexpand.h" -#include "verilog/tools/ls/document-symbol-filler.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/analysis/file-analyzer.h" +#include "verible/common/analysis/lint-rule-status.h" +#include "verible/common/lsp/lsp-protocol-enums.h" +#include "verible/common/lsp/lsp-protocol-operators.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/interval.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-linter.h" +#include "verible/verilog/formatting/format-style-init.h" +#include "verible/verilog/formatting/format-style.h" +#include "verible/verilog/formatting/formatter.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/tools/ls/autoexpand.h" +#include "verible/verilog/tools/ls/document-symbol-filler.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" namespace verilog { // Convert our representation of a linter violation to a LSP-Diagnostic diff --git a/verilog/tools/ls/verible-lsp-adapter.h b/verible/verilog/tools/ls/verible-lsp-adapter.h similarity index 94% rename from verilog/tools/ls/verible-lsp-adapter.h rename to verible/verilog/tools/ls/verible-lsp-adapter.h index 44a7d2fbd..11f6dde06 100644 --- a/verilog/tools/ls/verible-lsp-adapter.h +++ b/verible/verilog/tools/ls/verible-lsp-adapter.h @@ -18,10 +18,10 @@ #include -#include "common/lsp/lsp-protocol.h" #include "nlohmann/json.hpp" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" // Adapter functions converting verible state into lsp objects. diff --git a/verilog/tools/ls/verible-verilog-ls.cc b/verible/verilog/tools/ls/verible-verilog-ls.cc similarity index 95% rename from verilog/tools/ls/verible-verilog-ls.cc rename to verible/verilog/tools/ls/verible-verilog-ls.cc index 92f86afe2..53c893535 100644 --- a/verilog/tools/ls/verible-verilog-ls.cc +++ b/verible/verilog/tools/ls/verible-verilog-ls.cc @@ -17,8 +17,8 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/util/init-command-line.h" -#include "verilog/tools/ls/verilog-language-server.h" +#include "verible/common/util/init-command-line.h" +#include "verible/verilog/tools/ls/verilog-language-server.h" #ifndef _WIN32 #include diff --git a/verilog/tools/ls/verible-verilog-ls_test.sh b/verible/verilog/tools/ls/verible-verilog-ls_test.sh similarity index 100% rename from verilog/tools/ls/verible-verilog-ls_test.sh rename to verible/verilog/tools/ls/verible-verilog-ls_test.sh diff --git a/verilog/tools/ls/verilog-language-server.cc b/verible/verilog/tools/ls/verilog-language-server.cc similarity index 94% rename from verilog/tools/ls/verilog-language-server.cc rename to verible/verilog/tools/ls/verilog-language-server.cc index 58d3c50fb..df4fc2a05 100644 --- a/verilog/tools/ls/verilog-language-server.cc +++ b/verible/verilog/tools/ls/verilog-language-server.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/ls/verilog-language-server.h" +#include "verible/verilog/tools/ls/verilog-language-server.h" #include #include @@ -25,17 +25,17 @@ #include "absl/flags/flag.h" #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lsp/lsp-file-utils.h" -#include "common/lsp/lsp-protocol.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/logging.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-project.h" -#include "verilog/tools/ls/hover.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" -#include "verilog/tools/ls/verible-lsp-adapter.h" +#include "verible/common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/logging.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/tools/ls/hover.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" +#include "verible/verilog/tools/ls/verible-lsp-adapter.h" ABSL_FLAG(bool, variables_in_outline, true, "Variables should be included into the symbol outline"); diff --git a/verilog/tools/ls/verilog-language-server.h b/verible/verilog/tools/ls/verilog-language-server.h similarity index 91% rename from verilog/tools/ls/verilog-language-server.h rename to verible/verilog/tools/ls/verilog-language-server.h index e6df0d153..31aed2236 100644 --- a/verilog/tools/ls/verilog-language-server.h +++ b/verible/verilog/tools/ls/verilog-language-server.h @@ -19,12 +19,12 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/lsp/json-rpc-dispatcher.h" -#include "common/lsp/lsp-protocol.h" -#include "common/lsp/lsp-text-buffer.h" -#include "common/lsp/message-stream-splitter.h" -#include "verilog/tools/ls/lsp-parse-buffer.h" -#include "verilog/tools/ls/symbol-table-handler.h" +#include "verible/common/lsp/json-rpc-dispatcher.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/lsp/lsp-text-buffer.h" +#include "verible/common/lsp/message-stream-splitter.h" +#include "verible/verilog/tools/ls/lsp-parse-buffer.h" +#include "verible/verilog/tools/ls/symbol-table-handler.h" namespace verilog { diff --git a/verilog/tools/ls/verilog-language-server_test.cc b/verible/verilog/tools/ls/verilog-language-server_test.cc similarity index 99% rename from verilog/tools/ls/verilog-language-server_test.cc rename to verible/verilog/tools/ls/verilog-language-server_test.cc index 53a66021e..4652c8af3 100644 --- a/verilog/tools/ls/verilog-language-server_test.cc +++ b/verible/verilog/tools/ls/verilog-language-server_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/tools/ls/verilog-language-server.h" +#include "verible/verilog/tools/ls/verilog-language-server.h" #include #include @@ -27,14 +27,14 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_replace.h" #include "absl/strings/string_view.h" -#include "common/lsp/lsp-file-utils.h" -#include "common/lsp/lsp-protocol-enums.h" -#include "common/lsp/lsp-protocol.h" -#include "common/strings/line-column-map.h" -#include "common/util/file-util.h" #include "gtest/gtest.h" #include "nlohmann/json.hpp" -#include "verilog/analysis/verilog-linter.h" +#include "verible/common/lsp/lsp-file-utils.h" +#include "verible/common/lsp/lsp-protocol-enums.h" +#include "verible/common/lsp/lsp-protocol.h" +#include "verible/common/strings/line-column-map.h" +#include "verible/common/util/file-util.h" +#include "verible/verilog/analysis/verilog-linter.h" #undef ASSERT_OK #define ASSERT_OK(value) \ diff --git a/verilog/tools/ls/vscode/.gitignore b/verible/verilog/tools/ls/vscode/.gitignore similarity index 100% rename from verilog/tools/ls/vscode/.gitignore rename to verible/verilog/tools/ls/vscode/.gitignore diff --git a/verilog/tools/ls/vscode/LICENSE b/verible/verilog/tools/ls/vscode/LICENSE similarity index 100% rename from verilog/tools/ls/vscode/LICENSE rename to verible/verilog/tools/ls/vscode/LICENSE diff --git a/verilog/tools/ls/vscode/README.md b/verible/verilog/tools/ls/vscode/README.md similarity index 100% rename from verilog/tools/ls/vscode/README.md rename to verible/verilog/tools/ls/vscode/README.md diff --git a/verilog/tools/ls/vscode/images/icon.png b/verible/verilog/tools/ls/vscode/images/icon.png similarity index 100% rename from verilog/tools/ls/vscode/images/icon.png rename to verible/verilog/tools/ls/vscode/images/icon.png diff --git a/verilog/tools/ls/vscode/package.json b/verible/verilog/tools/ls/vscode/package.json similarity index 100% rename from verilog/tools/ls/vscode/package.json rename to verible/verilog/tools/ls/vscode/package.json diff --git a/verilog/tools/ls/vscode/src/download-ls.ts b/verible/verilog/tools/ls/vscode/src/download-ls.ts similarity index 100% rename from verilog/tools/ls/vscode/src/download-ls.ts rename to verible/verilog/tools/ls/vscode/src/download-ls.ts diff --git a/verilog/tools/ls/vscode/src/extension.ts b/verible/verilog/tools/ls/vscode/src/extension.ts similarity index 100% rename from verilog/tools/ls/vscode/src/extension.ts rename to verible/verilog/tools/ls/vscode/src/extension.ts diff --git a/verilog/tools/ls/vscode/tsconfig.json b/verible/verilog/tools/ls/vscode/tsconfig.json similarity index 100% rename from verilog/tools/ls/vscode/tsconfig.json rename to verible/verilog/tools/ls/vscode/tsconfig.json diff --git a/verilog/tools/ls/vscode/webpack.config.js b/verible/verilog/tools/ls/vscode/webpack.config.js similarity index 100% rename from verilog/tools/ls/vscode/webpack.config.js rename to verible/verilog/tools/ls/vscode/webpack.config.js diff --git a/verilog/tools/obfuscator/BUILD b/verible/verilog/tools/obfuscator/BUILD similarity index 69% rename from verilog/tools/obfuscator/BUILD rename to verible/verilog/tools/obfuscator/BUILD index 5a83c98b7..d5c28b66c 100644 --- a/verilog/tools/obfuscator/BUILD +++ b/verible/verilog/tools/obfuscator/BUILD @@ -16,12 +16,12 @@ cc_binary( features = STATIC_EXECUTABLES_FEATURE, visibility = ["//visibility:public"], deps = [ - "//common/strings:obfuscator", - "//common/util:file-util", - "//common/util:init-command-line", - "//verilog/analysis:extractors", - "//verilog/preprocessor:verilog-preprocess", - "//verilog/transform:obfuscate", + "//verible/common/strings:obfuscator", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/verilog/analysis:extractors", + "//verible/verilog/preprocessor:verilog-preprocess", + "//verible/verilog/transform:obfuscate", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/status", "@com_google_absl//absl/status:statusor", @@ -36,10 +36,10 @@ sh_test_with_runfiles_lib( srcs = ["obfuscate_test.sh"], args = [ "$(location :verible-verilog-obfuscate)", - "$(location //verilog/tools/diff:verible-verilog-diff)", + "$(location //verible/verilog/tools/diff:verible-verilog-diff)", ], data = [ ":verible-verilog-obfuscate", - "//verilog/tools/diff:verible-verilog-diff", + "//verible/verilog/tools/diff:verible-verilog-diff", ], ) diff --git a/verilog/tools/obfuscator/README.md b/verible/verilog/tools/obfuscator/README.md similarity index 100% rename from verilog/tools/obfuscator/README.md rename to verible/verilog/tools/obfuscator/README.md diff --git a/verilog/tools/obfuscator/obfuscate_test.sh b/verible/verilog/tools/obfuscator/obfuscate_test.sh similarity index 100% rename from verilog/tools/obfuscator/obfuscate_test.sh rename to verible/verilog/tools/obfuscator/obfuscate_test.sh diff --git a/verilog/tools/obfuscator/verilog-obfuscate.cc b/verible/verilog/tools/obfuscator/verilog-obfuscate.cc similarity index 95% rename from verilog/tools/obfuscator/verilog-obfuscate.cc rename to verible/verilog/tools/obfuscator/verilog-obfuscate.cc index 1477518b3..4f7472c65 100644 --- a/verilog/tools/obfuscator/verilog-obfuscate.cc +++ b/verible/verilog/tools/obfuscator/verilog-obfuscate.cc @@ -35,12 +35,12 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/strings/obfuscator.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "verilog/analysis/extractors.h" -#include "verilog/preprocessor/verilog-preprocess.h" -#include "verilog/transform/obfuscate.h" +#include "verible/common/strings/obfuscator.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/verilog/analysis/extractors.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/transform/obfuscate.h" using verible::IdentifierObfuscator; diff --git a/verilog/tools/preprocessor/BUILD b/verible/verilog/tools/preprocessor/BUILD similarity index 65% rename from verilog/tools/preprocessor/BUILD rename to verible/verilog/tools/preprocessor/BUILD index bedff179a..c46573afe 100644 --- a/verilog/tools/preprocessor/BUILD +++ b/verible/verilog/tools/preprocessor/BUILD @@ -16,17 +16,17 @@ cc_binary( features = STATIC_EXECUTABLES_FEATURE, visibility = ["//visibility:public"], deps = [ - "//common/text:token-stream-view", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:status-macros", - "//common/util:subcommand", - "//verilog/analysis:flow-tree", - "//verilog/analysis:verilog-filelist", - "//verilog/analysis:verilog-project", - "//verilog/parser:verilog-lexer", - "//verilog/preprocessor:verilog-preprocess", - "//verilog/transform:strip-comments", + "//verible/common/text:token-stream-view", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:status-macros", + "//verible/common/util:subcommand", + "//verible/verilog/analysis:flow-tree", + "//verible/verilog/analysis:verilog-filelist", + "//verible/verilog/analysis:verilog-project", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/preprocessor:verilog-preprocess", + "//verible/verilog/transform:strip-comments", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/flags:usage", "@com_google_absl//absl/status", diff --git a/verilog/tools/preprocessor/README.md b/verible/verilog/tools/preprocessor/README.md similarity index 100% rename from verilog/tools/preprocessor/README.md rename to verible/verilog/tools/preprocessor/README.md diff --git a/verilog/tools/preprocessor/verilog-preprocessor.cc b/verible/verilog/tools/preprocessor/verilog-preprocessor.cc similarity index 95% rename from verilog/tools/preprocessor/verilog-preprocessor.cc rename to verible/verilog/tools/preprocessor/verilog-preprocessor.cc index db2c05469..c6bc67449 100644 --- a/verilog/tools/preprocessor/verilog-preprocessor.cc +++ b/verible/verilog/tools/preprocessor/verilog-preprocessor.cc @@ -23,17 +23,17 @@ #include "absl/status/statusor.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/text/token-stream-view.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/status-macros.h" -#include "common/util/subcommand.h" -#include "verilog/analysis/flow-tree.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/analysis/verilog-project.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/preprocessor/verilog-preprocess.h" -#include "verilog/transform/strip-comments.h" +#include "verible/common/text/token-stream-view.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/status-macros.h" +#include "verible/common/util/subcommand.h" +#include "verible/verilog/analysis/flow-tree.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-project.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/preprocessor/verilog-preprocess.h" +#include "verible/verilog/transform/strip-comments.h" using verible::SubcommandArgsRange; using verible::SubcommandEntry; diff --git a/verilog/tools/preprocessor/verilog_preprocessor_test.sh b/verible/verilog/tools/preprocessor/verilog_preprocessor_test.sh similarity index 100% rename from verilog/tools/preprocessor/verilog_preprocessor_test.sh rename to verible/verilog/tools/preprocessor/verilog_preprocessor_test.sh diff --git a/verilog/tools/project/BUILD b/verible/verilog/tools/project/BUILD similarity index 71% rename from verilog/tools/project/BUILD rename to verible/verilog/tools/project/BUILD index 95d74503e..0d286d735 100644 --- a/verilog/tools/project/BUILD +++ b/verible/verilog/tools/project/BUILD @@ -16,14 +16,14 @@ cc_binary( features = STATIC_EXECUTABLES_FEATURE, visibility = ["//:__subpackages__"], deps = [ - "//common/util:init-command-line", - "//common/util:logging", - "//common/util:status-macros", - "//common/util:subcommand", - "//verilog/analysis:dependencies", - "//verilog/analysis:symbol-table", - "//verilog/analysis:verilog-filelist", - "//verilog/analysis:verilog-project", + "//verible/common/util:init-command-line", + "//verible/common/util:logging", + "//verible/common/util:status-macros", + "//verible/common/util:subcommand", + "//verible/verilog/analysis:dependencies", + "//verible/verilog/analysis:symbol-table", + "//verible/verilog/analysis:verilog-filelist", + "//verible/verilog/analysis:verilog-project", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/flags:usage", "@com_google_absl//absl/status", diff --git a/verilog/tools/project/README.md b/verible/verilog/tools/project/README.md similarity index 100% rename from verilog/tools/project/README.md rename to verible/verilog/tools/project/README.md diff --git a/verilog/tools/project/project-tool.cc b/verible/verilog/tools/project/project-tool.cc similarity index 96% rename from verilog/tools/project/project-tool.cc rename to verible/verilog/tools/project/project-tool.cc index 5468f9875..029146347 100644 --- a/verilog/tools/project/project-tool.cc +++ b/verible/verilog/tools/project/project-tool.cc @@ -24,14 +24,14 @@ #include "absl/strings/str_cat.h" #include "absl/strings/str_join.h" #include "absl/strings/string_view.h" -#include "common/util/init-command-line.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "common/util/subcommand.h" -#include "verilog/analysis/dependencies.h" -#include "verilog/analysis/symbol-table.h" -#include "verilog/analysis/verilog-filelist.h" -#include "verilog/analysis/verilog-project.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/common/util/subcommand.h" +#include "verible/verilog/analysis/dependencies.h" +#include "verible/verilog/analysis/symbol-table.h" +#include "verible/verilog/analysis/verilog-filelist.h" +#include "verible/verilog/analysis/verilog-project.h" // Note: These flags were copied over from // verilog/tools/kythe/verilog_kythe_extractor.cc. diff --git a/verilog/tools/project/project_tool_test.sh b/verible/verilog/tools/project/project_tool_test.sh similarity index 100% rename from verilog/tools/project/project_tool_test.sh rename to verible/verilog/tools/project/project_tool_test.sh diff --git a/verilog/tools/syntax/BUILD b/verible/verilog/tools/syntax/BUILD similarity index 52% rename from verilog/tools/syntax/BUILD rename to verible/verilog/tools/syntax/BUILD index fa1d0e09b..32dd6811c 100644 --- a/verilog/tools/syntax/BUILD +++ b/verible/verilog/tools/syntax/BUILD @@ -15,26 +15,26 @@ cc_binary( features = STATIC_EXECUTABLES_FEATURE, visibility = ["//visibility:public"], # for verilog_style_lint.bzl deps = [ - "//common/strings:mem-block", - "//common/text:concrete-syntax-tree", - "//common/text:parser-verifier", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-info-json", - "//common/util:enum-flags", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:iterator-range", - "//common/util:logging", - "//verilog/CST:verilog-tree-json", - "//verilog/CST:verilog-tree-print", - "//verilog/analysis:json-diagnostics", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis/checkers:verilog-lint-rules", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", + "//verible/common/strings:mem-block", + "//verible/common/text:concrete-syntax-tree", + "//verible/common/text:parser-verifier", + "//verible/common/text:text-structure", + "//verible/common/text:token-info", + "//verible/common/text:token-info-json", + "//verible/common/util:enum-flags", + "//verible/common/util:file-util", + "//verible/common/util:init-command-line", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/verilog/CST:verilog-tree-json", + "//verible/verilog/CST:verilog-tree-print", + "//verible/verilog/analysis:json-diagnostics", + "//verible/verilog/analysis:verilog-analyzer", + "//verible/verilog/analysis/checkers:verilog-lint-rules", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token", + "//verible/verilog/parser:verilog-token-classifications", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/flags:flag", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", diff --git a/verilog/tools/syntax/README.md b/verible/verilog/tools/syntax/README.md similarity index 100% rename from verilog/tools/syntax/README.md rename to verible/verilog/tools/syntax/README.md diff --git a/verilog/tools/syntax/export_json_examples/BUILD.example b/verible/verilog/tools/syntax/export_json_examples/BUILD.example similarity index 70% rename from verilog/tools/syntax/export_json_examples/BUILD.example rename to verible/verilog/tools/syntax/export_json_examples/BUILD.example index 8c6539c03..8429ba739 100644 --- a/verilog/tools/syntax/export_json_examples/BUILD.example +++ b/verible/verilog/tools/syntax/export_json_examples/BUILD.example @@ -9,7 +9,7 @@ package( py_library( name = "verible-verilog-syntax-py", srcs = ["verible_verilog_syntax.py"], - data = ["//verilog/tools/syntax:verible-verilog-syntax"], + data = ["//verible/verilog/tools/syntax:verible-verilog-syntax"], imports = ["."], srcs_version = "PY3", deps = [ @@ -22,8 +22,8 @@ py_test( name = "verible-verilog-syntax-py_test", size = "small", srcs = ["verible_verilog_syntax_test.py"], - args = ["$(location //verilog/tools/syntax:verible-verilog-syntax)"], - data = ["//verilog/tools/syntax:verible-verilog-syntax"], + args = ["$(location //verible/verilog/tools/syntax:verible-verilog-syntax)"], + data = ["//verible/verilog/tools/syntax:verible-verilog-syntax"], main = "verible_verilog_syntax_test.py", python_version = "PY3", srcs_version = "PY3", @@ -33,8 +33,8 @@ py_test( py_binary( name = "print-modules", srcs = ["print_modules.py"], - args = ["$(location //verilog/tools/syntax:verible-verilog-syntax)"], - data = ["//verilog/tools/syntax:verible-verilog-syntax"], + args = ["$(location //verible/verilog/tools/syntax:verible-verilog-syntax)"], + data = ["//verible/verilog/tools/syntax:verible-verilog-syntax"], main = "print_modules.py", python_version = "PY3", srcs_version = "PY3", @@ -47,8 +47,8 @@ py_binary( py_binary( name = "print-tree", srcs = ["print_tree.py"], - args = ["$(location //verilog/tools/syntax:verible-verilog-syntax)"], - data = ["//verilog/tools/syntax:verible-verilog-syntax"], + args = ["$(location //verible/verilog/tools/syntax:verible-verilog-syntax)"], + data = ["//verible/verilog/tools/syntax:verible-verilog-syntax"], main = "print_tree.py", python_version = "PY3", srcs_version = "PY3", diff --git a/verilog/tools/syntax/export_json_examples/README.md b/verible/verilog/tools/syntax/export_json_examples/README.md similarity index 100% rename from verilog/tools/syntax/export_json_examples/README.md rename to verible/verilog/tools/syntax/export_json_examples/README.md diff --git a/verilog/tools/syntax/export_json_examples/print_modules.py b/verible/verilog/tools/syntax/export_json_examples/print_modules.py similarity index 100% rename from verilog/tools/syntax/export_json_examples/print_modules.py rename to verible/verilog/tools/syntax/export_json_examples/print_modules.py diff --git a/verilog/tools/syntax/export_json_examples/print_tree.py b/verible/verilog/tools/syntax/export_json_examples/print_tree.py similarity index 100% rename from verilog/tools/syntax/export_json_examples/print_tree.py rename to verible/verilog/tools/syntax/export_json_examples/print_tree.py diff --git a/verilog/tools/syntax/export_json_examples/verible_verilog_syntax.py b/verible/verilog/tools/syntax/export_json_examples/verible_verilog_syntax.py similarity index 100% rename from verilog/tools/syntax/export_json_examples/verible_verilog_syntax.py rename to verible/verilog/tools/syntax/export_json_examples/verible_verilog_syntax.py diff --git a/verilog/tools/syntax/export_json_examples/verible_verilog_syntax_test.py b/verible/verilog/tools/syntax/export_json_examples/verible_verilog_syntax_test.py similarity index 100% rename from verilog/tools/syntax/export_json_examples/verible_verilog_syntax_test.py rename to verible/verilog/tools/syntax/export_json_examples/verible_verilog_syntax_test.py diff --git a/verilog/tools/syntax/triage_parser.sh b/verible/verilog/tools/syntax/triage_parser.sh similarity index 100% rename from verilog/tools/syntax/triage_parser.sh rename to verible/verilog/tools/syntax/triage_parser.sh diff --git a/verilog/tools/syntax/verilog-syntax.cc b/verible/verilog/tools/syntax/verilog-syntax.cc similarity index 91% rename from verilog/tools/syntax/verilog-syntax.cc rename to verible/verilog/tools/syntax/verilog-syntax.cc index 0c4d0b8fb..efa8acd74 100644 --- a/verilog/tools/syntax/verilog-syntax.cc +++ b/verible/verilog/tools/syntax/verilog-syntax.cc @@ -32,27 +32,27 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/strings/mem-block.h" -#include "common/text/concrete-syntax-tree.h" -#include "common/text/parser-verifier.h" -#include "common/text/text-structure.h" -#include "common/text/token-info-json.h" -#include "common/text/token-info.h" -#include "common/util/enum-flags.h" -#include "common/util/file-util.h" -#include "common/util/init-command-line.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" // for operator<<, LOG, LogMessage, etc #include "nlohmann/json.hpp" -#include "verilog/CST/verilog-tree-json.h" -#include "verilog/CST/verilog-tree-print.h" -#include "verilog/analysis/json-diagnostics.h" -#include "verilog/analysis/verilog-analyzer.h" -#include "verilog/analysis/verilog-excerpt-parse.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-classifications.h" -#include "verilog/parser/verilog-token-enum.h" -#include "verilog/parser/verilog-token.h" +#include "verible/common/strings/mem-block.h" +#include "verible/common/text/concrete-syntax-tree.h" +#include "verible/common/text/parser-verifier.h" +#include "verible/common/text/text-structure.h" +#include "verible/common/text/token-info-json.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/enum-flags.h" +#include "verible/common/util/file-util.h" +#include "verible/common/util/init-command-line.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" // for operator<<, LOG, LogMessage, etc +#include "verible/verilog/CST/verilog-tree-json.h" +#include "verible/verilog/CST/verilog-tree-print.h" +#include "verible/verilog/analysis/json-diagnostics.h" +#include "verible/verilog/analysis/verilog-analyzer.h" +#include "verible/verilog/analysis/verilog-excerpt-parse.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-classifications.h" +#include "verible/verilog/parser/verilog-token-enum.h" +#include "verible/verilog/parser/verilog-token.h" // Controls parser selection behavior enum class LanguageMode { diff --git a/verilog/tools/syntax/verilog_syntax_test.sh b/verible/verilog/tools/syntax/verilog_syntax_test.sh similarity index 100% rename from verilog/tools/syntax/verilog_syntax_test.sh rename to verible/verilog/tools/syntax/verilog_syntax_test.sh diff --git a/verilog/transform/BUILD b/verible/verilog/transform/BUILD similarity index 56% rename from verilog/transform/BUILD rename to verible/verilog/transform/BUILD index eda52fc22..d702ffc38 100644 --- a/verilog/transform/BUILD +++ b/verible/verilog/transform/BUILD @@ -1,8 +1,8 @@ # This library contains tools for transforming Verilog code. default_visibility = [ - "//verilog/tools/obfuscator:__subpackages__", - "//verilog/tools/preprocessor:__subpackages__", + "//verible/verilog/tools/obfuscator:__subpackages__", + "//verible/verilog/tools/preprocessor:__subpackages__", ] package( @@ -16,14 +16,14 @@ cc_library( srcs = ["obfuscate.cc"], hdrs = ["obfuscate.h"], deps = [ - "//common/strings:obfuscator", - "//common/strings:random", - "//common/text:token-info", - "//common/util:logging", - "//common/util:status-macros", - "//verilog/analysis:verilog-equivalence", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-token-enum", + "//verible/common/strings:obfuscator", + "//verible/common/strings:random", + "//verible/common/text:token-info", + "//verible/common/util:logging", + "//verible/common/util:status-macros", + "//verible/verilog/analysis:verilog-equivalence", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/status", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", @@ -35,7 +35,7 @@ cc_test( srcs = ["obfuscate_test.cc"], deps = [ ":obfuscate", - "//common/strings:obfuscator", + "//verible/common/strings:obfuscator", "@com_google_absl//absl/status", "@com_google_absl//absl/strings:string_view", "@com_google_googletest//:gtest", @@ -48,15 +48,15 @@ cc_library( srcs = ["strip-comments.cc"], hdrs = ["strip-comments.h"], deps = [ - "//common/strings:comment-utils", - "//common/strings:range", - "//common/text:token-info", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:spacer", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-enum", + "//verible/common/strings:comment-utils", + "//verible/common/strings:range", + "//verible/common/text:token-info", + "//verible/common/util:iterator-range", + "//verible/common/util:logging", + "//verible/common/util:spacer", + "//verible/verilog/parser:verilog-lexer", + "//verible/verilog/parser:verilog-parser", + "//verible/verilog/parser:verilog-token-enum", "@com_google_absl//absl/strings", "@com_google_absl//absl/strings:string_view", ], diff --git a/verilog/transform/obfuscate.cc b/verible/verilog/transform/obfuscate.cc similarity index 93% rename from verilog/transform/obfuscate.cc rename to verible/verilog/transform/obfuscate.cc index 702deb724..100ce2f7c 100644 --- a/verilog/transform/obfuscate.cc +++ b/verible/verilog/transform/obfuscate.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/transform/obfuscate.h" +#include "verible/verilog/transform/obfuscate.h" #include #include @@ -21,14 +21,14 @@ #include "absl/status/status.h" #include "absl/strings/str_cat.h" #include "absl/strings/string_view.h" -#include "common/strings/obfuscator.h" -#include "common/strings/random.h" -#include "common/text/token-info.h" -#include "common/util/logging.h" -#include "common/util/status-macros.h" -#include "verilog/analysis/verilog-equivalence.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/strings/obfuscator.h" +#include "verible/common/strings/random.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/status-macros.h" +#include "verible/verilog/analysis/verilog-equivalence.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/transform/obfuscate.h b/verible/verilog/transform/obfuscate.h similarity index 97% rename from verilog/transform/obfuscate.h rename to verible/verilog/transform/obfuscate.h index 46272708c..ab2f2c88f 100644 --- a/verilog/transform/obfuscate.h +++ b/verible/verilog/transform/obfuscate.h @@ -20,7 +20,7 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/obfuscator.h" +#include "verible/common/strings/obfuscator.h" namespace verilog { diff --git a/verilog/transform/obfuscate_test.cc b/verible/verilog/transform/obfuscate_test.cc similarity index 97% rename from verilog/transform/obfuscate_test.cc rename to verible/verilog/transform/obfuscate_test.cc index 7cfa14b81..1003e44fc 100644 --- a/verilog/transform/obfuscate_test.cc +++ b/verible/verilog/transform/obfuscate_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/transform/obfuscate.h" +#include "verible/verilog/transform/obfuscate.h" #include #include @@ -20,8 +20,8 @@ #include "absl/status/status.h" #include "absl/strings/string_view.h" -#include "common/strings/obfuscator.h" #include "gtest/gtest.h" +#include "verible/common/strings/obfuscator.h" namespace verilog { namespace { diff --git a/verilog/transform/strip-comments.cc b/verible/verilog/transform/strip-comments.cc similarity index 89% rename from verilog/transform/strip-comments.cc rename to verible/verilog/transform/strip-comments.cc index 388222ed3..6244d7400 100644 --- a/verilog/transform/strip-comments.cc +++ b/verible/verilog/transform/strip-comments.cc @@ -12,22 +12,22 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/transform/strip-comments.h" +#include "verible/verilog/transform/strip-comments.h" #include #include #include "absl/strings/str_split.h" #include "absl/strings/string_view.h" -#include "common/strings/comment-utils.h" -#include "common/strings/range.h" -#include "common/text/token-info.h" -#include "common/util/iterator-range.h" -#include "common/util/logging.h" -#include "common/util/spacer.h" -#include "verilog/parser/verilog-lexer.h" -#include "verilog/parser/verilog-parser.h" -#include "verilog/parser/verilog-token-enum.h" +#include "verible/common/strings/comment-utils.h" +#include "verible/common/strings/range.h" +#include "verible/common/text/token-info.h" +#include "verible/common/util/iterator-range.h" +#include "verible/common/util/logging.h" +#include "verible/common/util/spacer.h" +#include "verible/verilog/parser/verilog-lexer.h" +#include "verible/verilog/parser/verilog-parser.h" +#include "verible/verilog/parser/verilog-token-enum.h" namespace verilog { diff --git a/verilog/transform/strip-comments.h b/verible/verilog/transform/strip-comments.h similarity index 100% rename from verilog/transform/strip-comments.h rename to verible/verilog/transform/strip-comments.h diff --git a/verilog/transform/strip-comments_test.cc b/verible/verilog/transform/strip-comments_test.cc similarity index 99% rename from verilog/transform/strip-comments_test.cc rename to verible/verilog/transform/strip-comments_test.cc index 5f9c96a25..117986b94 100644 --- a/verilog/transform/strip-comments_test.cc +++ b/verible/verilog/transform/strip-comments_test.cc @@ -12,7 +12,7 @@ // See the License for the specific language governing permissions and // limitations under the License. -#include "verilog/transform/strip-comments.h" +#include "verible/verilog/transform/strip-comments.h" #include diff --git a/verilog/CST/BUILD b/verilog/CST/BUILD deleted file mode 100644 index 6c2b3cfc4..000000000 --- a/verilog/CST/BUILD +++ /dev/null @@ -1,960 +0,0 @@ -# This package contains utility functions that extract or operate on -# the concrete syntax tree (cst) structure built up by verilog.y. -# Any code that relies on the internal tree structure should be isolated in -# here. - -package( - default_applicable_licenses = ["//:license"], - default_visibility = [ - "//verilog/analysis:__subpackages__", - "//verilog/formatting:__subpackages__", - "//verilog/parser:__subpackages__", - "//verilog/tools/kythe:__pkg__", - "//verilog/tools/ls:__pkg__", # DocumentSymbol - "//verilog/tools/syntax:__pkg__", # for printing - ], - features = ["layering_check"], -) - -# Generate foreach list for nonterminal enums. -# Libraries that need these generated include files should list them -# under srcs, even if they are included by headers. -genrule( - name = "verilog-nonterminals-foreach-gen", - srcs = ["verilog-nonterminals.h"], - outs = ["verilog_nonterminals_foreach-gen.inc"], - cmd = "sed -n -e '/BEGIN GENERATE/,/END GENERATE/p' $< | " + - "grep -v GENERATE | " + - "sed -e 's|^ *|CONSIDER(|' -e 's| =.*,|,|' -e 's|,|)|' > $@", -) - -cc_library( - name = "verilog-nonterminals", - srcs = [ - "verilog-nonterminals.cc", - "verilog_nonterminals_foreach-gen.inc", - ], - hdrs = [ - "verilog-nonterminals.h", - "verilog_nonterminals_foreach.inc", - ], - deps = [ - "//common/text:constants", - "@com_google_absl//absl/strings", - ], -) - -cc_test( - name = "verilog-nonterminals_test", - srcs = ["verilog-nonterminals_test.cc"], - deps = [ - ":verilog-nonterminals", - "//common/text:constants", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "verilog-matchers", - srcs = [ - "verilog-matchers.cc", - "verilog_nonterminals_foreach.inc", - "verilog_nonterminals_foreach-gen.inc", - ], - hdrs = [ - "verilog-matchers.h", - ], - deps = [ - ":verilog-nonterminals", - "//common/analysis/matcher:matcher-builders", - "//common/text:symbol", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "verilog-matchers_test", - srcs = ["verilog-matchers_test.cc"], - deps = [ - ":verilog-matchers", - ":verilog-treebuilder-utils", - "//common/analysis/matcher:core-matchers", - "//common/analysis/matcher:matcher-builders", - "//common/analysis/matcher:matcher-test-utils", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "verilog-treebuilder-utils", - srcs = ["verilog-treebuilder-utils.cc"], - hdrs = ["verilog-treebuilder-utils.h"], - deps = [ - ":verilog-nonterminals", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/util:logging", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "verilog-treebuilder-utils_test", - srcs = ["verilog-treebuilder-utils_test.cc"], - deps = [ - ":verilog-treebuilder-utils", - "//common/text:tree-builder-test-util", - "//common/text:tree-utils", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "match-test-utils", - testonly = 1, - srcs = ["match-test-utils.cc"], - hdrs = ["match-test-utils.h"], - deps = [ - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", # for library testonly - ], -) - -cc_library( - name = "constraints", - srcs = ["constraints.cc"], - hdrs = ["constraints.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - ], -) - -cc_test( - name = "constraints_test", - srcs = ["constraints_test.cc"], - deps = [ - ":constraints", - ":match-test-utils", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/text:token-info", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "DPI", - srcs = ["DPI.cc"], - hdrs = ["DPI.h"], - deps = [ - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:symbol-ptr", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "DPI_test", - srcs = ["DPI_test.cc"], - deps = [ - ":DPI", - ":match-test-utils", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/util:logging", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "declaration", - srcs = ["declaration.cc"], - hdrs = ["declaration.h"], - deps = [ - ":identifier", - ":type", - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:constants", - "//common/text:symbol", - "//common/text:symbol-ptr", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:container-util", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "declaration_test", - srcs = ["declaration_test.cc"], - deps = [ - ":declaration", - ":match-test-utils", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/text:tree-utils", - "//common/util:logging", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "dimensions", - srcs = ["dimensions.cc"], - hdrs = ["dimensions.h"], - deps = [ - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - ], -) - -cc_test( - name = "dimensions_test", - srcs = ["dimensions_test.cc"], - deps = [ - ":dimensions", - ":verilog-matchers", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "expression", - srcs = ["expression.cc"], - hdrs = ["expression.h"], - deps = [ - ":type", - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:casts", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "expression_test", - srcs = ["expression_test.cc"], - deps = [ - ":expression", - ":match-test-utils", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/preprocessor:verilog-preprocess", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "functions", - srcs = ["functions.cc"], - hdrs = ["functions.h"], - deps = [ - ":identifier", - ":type", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - ":verilog-treebuilder-utils", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - ], -) - -cc_test( - name = "functions_test", - srcs = ["functions_test.cc"], - deps = [ - ":functions", - ":identifier", - ":match-test-utils", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:text-structure", - "//common/text:token-info-test-util", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "identifier", - srcs = ["identifier.cc"], - hdrs = ["identifier.h"], - deps = [ - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "identifier_test", - srcs = ["identifier_test.cc"], - deps = [ - ":identifier", - ":match-test-utils", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "macro", - srcs = ["macro.cc"], - hdrs = ["macro.h"], - deps = [ - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/text:visitors", - "//common/util:logging", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "macro_test", - srcs = ["macro_test.cc"], - deps = [ - ":macro", - ":match-test-utils", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/text:token-info-test-util", - "//common/util:logging", - "//common/util:range", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "class", - srcs = ["class.cc"], - hdrs = ["class.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - "//common/text:visitors", - ], -) - -cc_test( - name = "class_test", - srcs = ["class_test.cc"], - deps = [ - ":class", - ":match-test-utils", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "module", - srcs = ["module.cc"], - hdrs = ["module.h"], - deps = [ - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - ], -) - -cc_test( - name = "module_test", - srcs = ["module_test.cc"], - deps = [ - ":match-test-utils", - ":module", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "net", - srcs = ["net.cc"], - hdrs = ["net.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis/matcher", - "//common/analysis/matcher:inner-match-handlers", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - ], -) - -cc_test( - name = "net_test", - srcs = ["net_test.cc"], - deps = [ - ":declaration", - ":match-test-utils", - ":net", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "data", - srcs = ["data.cc"], - hdrs = ["data.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis/matcher", - "//common/analysis/matcher:inner-match-handlers", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - ], -) - -cc_test( - name = "data_test", - srcs = ["data_test.cc"], - deps = [ - ":data", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "package", - srcs = ["package.cc"], - hdrs = ["package.h"], - deps = [ - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "package_test", - srcs = ["package_test.cc"], - deps = [ - ":match-test-utils", - ":package", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-tree", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:casts", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/status", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "parameters", - srcs = ["parameters.cc"], - hdrs = ["parameters.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "parameters_test", - srcs = ["parameters_test.cc"], - deps = [ - ":match-test-utils", - ":parameters", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:casts", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "port", - srcs = ["port.cc"], - hdrs = ["port.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - "//common/util:logging", - ], -) - -cc_test( - name = "port_test", - srcs = ["port_test.cc"], - deps = [ - ":match-test-utils", - ":port", - ":type", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "seq-block", - srcs = ["seq-block.cc"], - hdrs = ["seq-block.h"], - deps = [ - ":verilog-nonterminals", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "seq-block_test", - srcs = ["seq-block_test.cc"], - deps = [ - ":seq-block", - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:symbol", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "statement", - srcs = ["statement.cc"], - hdrs = ["statement.h"], - deps = [ - ":declaration", - ":identifier", - ":type", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - "//common/text:visitors", - ], -) - -cc_test( - name = "statement_test", - srcs = ["statement_test.cc"], - deps = [ - ":match-test-utils", - ":statement", - ":verilog-matchers", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/analysis/matcher:matcher-builders", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "tasks", - srcs = ["tasks.cc"], - hdrs = ["tasks.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:tree-utils", - ], -) - -cc_test( - name = "tasks_test", - srcs = ["tasks_test.cc"], - deps = [ - ":identifier", - ":match-test-utils", - ":tasks", - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "type", - srcs = ["type.cc"], - hdrs = ["type.h"], - deps = [ - ":identifier", - ":verilog-matchers", # fixdeps: keep - ":verilog-nonterminals", - "//common/analysis:syntax-tree-search", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:symbol-ptr", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/parser:verilog-token-enum", - ], -) - -cc_test( - name = "type_test", - srcs = ["type_test.cc"], - deps = [ - ":context-functions", - ":declaration", - ":expression", - ":match-test-utils", - ":type", - "//common/analysis:syntax-tree-search", - "//common/analysis:syntax-tree-search-test-utils", - "//common/text:text-structure", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "context-functions", - hdrs = ["context-functions.h"], - deps = [ - ":verilog-nonterminals", - "//common/text:syntax-tree-context", - ], -) - -cc_library( - name = "numbers", - srcs = ["numbers.cc"], - hdrs = ["numbers.h"], - deps = [ - "//common/util:logging", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_library( - name = "verilog-tree-print", - srcs = ["verilog-tree-print.cc"], - hdrs = ["verilog-tree-print.h"], - deps = [ - ":verilog-nonterminals", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:value-saver", - "//verilog/parser:verilog-parser", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_library( - name = "verilog-tree-json", - srcs = ["verilog-tree-json.cc"], - hdrs = ["verilog-tree-json.h"], - deps = [ - ":verilog-nonterminals", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:token-info-json", - "//common/text:visitors", - "//common/util:value-saver", - "//verilog/parser:verilog-token", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@jsonhpp//:singleheader-json", - ], -) - -cc_test( - name = "context-functions_test", - srcs = ["context-functions_test.cc"], - deps = [ - ":context-functions", - ":verilog-nonterminals", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-builder-test-util", - "//common/util:casts", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_test( - name = "numbers_test", - srcs = ["numbers_test.cc"], - deps = [ - ":numbers", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_test( - name = "verilog-tree-print_test", - srcs = ["verilog-tree-print_test.cc"], - deps = [ - ":verilog-tree-print", - "//common/text:symbol", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_test( - name = "verilog-tree-json_test", - srcs = ["verilog-tree-json_test.cc"], - deps = [ - ":verilog-tree-json", - "//common/text:symbol", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - "@jsonhpp//:singleheader-json", - ], -) diff --git a/verilog/analysis/checkers/BUILD b/verilog/analysis/checkers/BUILD deleted file mode 100644 index 4cccbf1b4..000000000 --- a/verilog/analysis/checkers/BUILD +++ /dev/null @@ -1,2327 +0,0 @@ -# A set of linter rules to be used in analysis of verilog code. - -default_visibility = [ - "//verilog/analysis:__subpackages__", - "//verilog/tools:__subpackages__", -] - -package( - default_applicable_licenses = ["//:license"], - default_visibility = default_visibility, - features = ["layering_check"], -) - -cc_library( - name = "verilog-lint-rules", - deps = [ - ":always-comb-blocking-rule", - ":always-comb-rule", - ":always-ff-non-blocking-rule", - ":banned-declared-name-patterns-rule", - ":case-missing-default-rule", - ":constraint-name-style-rule", - ":create-object-name-match-rule", - ":dff-name-style-rule", - ":disable-statement-rule", - ":endif-comment-rule", - ":enum-name-style-rule", - ":explicit-begin-rule", - ":explicit-function-lifetime-rule", - ":explicit-function-task-parameter-type-rule", - ":explicit-parameter-storage-type-rule", - ":explicit-task-lifetime-rule", - ":forbid-consecutive-null-statements-rule", - ":forbid-defparam-rule", - ":forbid-line-continuations-rule", - ":forbid-negative-array-dim", - ":forbidden-anonymous-enums-rule", - ":forbidden-anonymous-structs-unions-rule", - ":forbidden-macro-rule", - ":forbidden-symbol-rule", - ":generate-label-prefix-rule", - ":generate-label-rule", - ":instance-shadow-rule", - ":interface-name-style-rule", - ":legacy-generate-region-rule", - ":legacy-genvar-declaration-rule", - ":line-length-rule", - ":macro-name-style-rule", - ":macro-string-concatenation-rule", - ":mismatched-labels-rule", - ":module-begin-block-rule", - ":module-filename-rule", - ":module-instantiation-rules", - ":no-tabs-rule", - ":no-trailing-spaces-rule", - ":numeric-format-string-style-rule", - ":one-module-per-file-rule", - ":package-filename-rule", - ":packed-dimensions-rule", - ":parameter-name-style-rule", - ":parameter-type-name-style-rule", - ":plusarg-assignment-rule", - ":port-name-suffix-rule", - ":positive-meaning-parameter-name-rule", - ":posix-eof-rule", - ":proper-parameter-declaration-rule", - ":signal-name-style-rule", - ":struct-union-name-style-rule", - ":suggest-parentheses-rule", - ":suspicious-semicolon-rule", - ":truncated-numeric-literal-rule", - ":undersized-binary-literal-rule", - ":unpacked-dimensions-rule", - ":uvm-macro-semicolon-rule", - ":v2001-generate-begin-rule", - ":void-cast-rule", - ], - alwayslink = 1, -) - -cc_library( - name = "banned-declared-name-patterns-rule", - srcs = ["banned-declared-name-patterns-rule.cc"], - hdrs = ["banned-declared-name-patterns-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:module", - "//verilog/CST:package", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "banned-declared-name-patterns-rule_test", - srcs = ["banned-declared-name-patterns-rule_test.cc"], - deps = [ - ":banned-declared-name-patterns-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "no-tabs-rule", - srcs = ["no-tabs-rule.cc"], - hdrs = ["no-tabs-rule.h"], - deps = [ - "//common/analysis:line-lint-rule", - "//common/analysis:lint-rule-status", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "no-tabs-rule_test", - srcs = ["no-tabs-rule_test.cc"], - deps = [ - ":no-tabs-rule", - "//common/analysis:line-linter-test-utils", - "//common/analysis:linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "no-trailing-spaces-rule", - srcs = ["no-trailing-spaces-rule.cc"], - hdrs = ["no-trailing-spaces-rule.h"], - deps = [ - "//common/analysis:line-lint-rule", - "//common/analysis:lint-rule-status", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "no-trailing-spaces-rule_test", - srcs = ["no-trailing-spaces-rule_test.cc"], - deps = [ - ":no-trailing-spaces-rule", - "//common/analysis:line-linter-test-utils", - "//common/analysis:linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "positive-meaning-parameter-name-rule", - srcs = ["positive-meaning-parameter-name-rule.cc"], - hdrs = ["positive-meaning-parameter-name-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:parameters", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "positive-meaning-parameter-name-rule_test", - srcs = ["positive-meaning-parameter-name-rule_test.cc"], - deps = [ - ":positive-meaning-parameter-name-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "posix-eof-rule", - srcs = ["posix-eof-rule.cc"], - hdrs = ["posix-eof-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:text-structure-lint-rule", - "//common/text:text-structure", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "posix-eof-rule_test", - srcs = ["posix-eof-rule_test.cc"], - deps = [ - ":posix-eof-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:text-structure-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "line-length-rule", - srcs = ["line-length-rule.cc"], - hdrs = ["line-length-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:text-structure-lint-rule", - "//common/strings:comment-utils", - "//common/strings:utf8", - "//common/text:config-utils", - "//common/text:constants", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:iterator-range", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/analysis:verilog-linter-constants", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "line-length-rule_test", - srcs = ["line-length-rule_test.cc"], - deps = [ - ":line-length-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:text-structure-linter-test-utils", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbid-consecutive-null-statements-rule", - srcs = ["forbid-consecutive-null-statements-rule.cc"], - hdrs = ["forbid-consecutive-null-statements-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//verilog/CST:verilog-matchers", # fixdeps: keep - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbid-consecutive-null-statements-rule_test", - srcs = ["forbid-consecutive-null-statements-rule_test.cc"], - deps = [ - ":forbid-consecutive-null-statements-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbid-defparam-rule", - srcs = ["forbid-defparam-rule.cc"], - hdrs = ["forbid-defparam-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:verilog-matchers", # fixdeps: keep - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbid-defparam-rule_test", - srcs = ["forbid-defparam-rule_test.cc"], - deps = [ - ":forbid-defparam-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "mismatched-labels-rule", - srcs = ["mismatched-labels-rule.cc"], - hdrs = ["mismatched-labels-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//verilog/CST:seq-block", - "//verilog/CST:verilog-matchers", # fixdeps: keep - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "mismatched-labels-rule_test", - srcs = ["mismatched-labels-rule_test.cc"], - deps = [ - ":mismatched-labels-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "module-begin-block-rule", - srcs = ["module-begin-block-rule.cc"], - hdrs = ["module-begin-block-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//verilog/CST:verilog-matchers", # fixdeps: keep - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "module-begin-block-rule_test", - srcs = ["module-begin-block-rule_test.cc"], - deps = [ - ":module-begin-block-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "module-filename-rule", - srcs = ["module-filename-rule.cc"], - hdrs = ["module-filename-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-search", - "//common/analysis:text-structure-lint-rule", - "//common/text:concrete-syntax-leaf", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:file-util", - "//common/util:logging", - "//verilog/CST:module", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "module-filename-rule_test", - srcs = ["module-filename-rule_test.cc"], - deps = [ - ":module-filename-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:text-structure-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "package-filename-rule", - srcs = ["package-filename-rule.cc"], - hdrs = ["package-filename-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-search", - "//common/analysis:text-structure-lint-rule", - "//common/text:config-utils", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:file-util", - "//verilog/CST:package", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "package-filename-rule_test", - srcs = ["package-filename-rule_test.cc"], - deps = [ - ":package-filename-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:text-structure-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "module-instantiation-rules", - srcs = ["module-instantiation-rules.cc"], - hdrs = ["module-instantiation-rules.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//verilog/CST:context-functions", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "module-instantiation-rules_test", - srcs = ["module-instantiation-rules_test.cc"], - deps = [ - ":module-instantiation-rules", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "one-module-per-file-rule", - srcs = ["one-module-per-file-rule.cc"], - hdrs = ["one-module-per-file-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-search", - "//common/analysis:text-structure-lint-rule", - "//common/text:text-structure", - "//common/util:logging", - "//verilog/CST:module", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "one-module-per-file-rule_test", - srcs = ["one-module-per-file-rule_test.cc"], - deps = [ - ":one-module-per-file-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:text-structure-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "endif-comment-rule", - srcs = ["endif-comment-rule.cc"], - hdrs = ["endif-comment-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:token-stream-lint-rule", - "//common/strings:comment-utils", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "endif-comment-rule_test", - srcs = ["endif-comment-rule_test.cc"], - deps = [ - ":endif-comment-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:token-stream-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbidden-anonymous-enums-rule", - srcs = ["forbidden-anonymous-enums-rule.cc"], - hdrs = ["forbidden-anonymous-enums-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbidden-anonymous-enums-rule_test", - srcs = ["forbidden-anonymous-enums-rule_test.cc"], - deps = [ - ":forbidden-anonymous-enums-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "enum-name-style-rule", - srcs = ["enum-name-style-rule.cc"], - hdrs = ["enum-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/util:logging", - "//verilog/CST:type", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], - alwayslink = 1, -) - -cc_test( - name = "enum-name-style-rule_test", - srcs = ["enum-name-style-rule_test.cc"], - deps = [ - ":enum-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbidden-macro-rule", - srcs = ["forbidden-macro-rule.cc"], - hdrs = ["forbidden-macro-rule.h"], - deps = [ - "//common/analysis:citation", - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/util:container-util", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_library( - name = "forbidden-anonymous-structs-unions-rule", - srcs = ["forbidden-anonymous-structs-unions-rule.cc"], - hdrs = ["forbidden-anonymous-structs-unions-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbidden-anonymous-structs-unions-rule_test", - srcs = ["forbidden-anonymous-structs-unions-rule_test.cc"], - deps = [ - ":forbidden-anonymous-structs-unions-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_test( - name = "forbidden-macro-rule_test", - srcs = ["forbidden-macro-rule_test.cc"], - deps = [ - ":forbidden-macro-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbidden-symbol-rule", - srcs = ["forbidden-symbol-rule.cc"], - hdrs = ["forbidden-symbol-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/util:container-util", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbidden-symbol-rule_test", - srcs = ["forbidden-symbol-rule_test.cc"], - deps = [ - ":forbidden-symbol-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "generate-label-rule", - srcs = ["generate-label-rule.cc"], - hdrs = ["generate-label-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/analysis/matcher:core-matchers", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "generate-label-rule_test", - srcs = ["generate-label-rule_test.cc"], - deps = [ - ":generate-label-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "generate-label-prefix-rule", - srcs = ["generate-label-prefix-rule.cc"], - hdrs = ["generate-label-prefix-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//verilog/CST:seq-block", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "generate-label-prefix-rule_test", - srcs = ["generate-label-prefix-rule_test.cc"], - deps = [ - ":generate-label-prefix-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "v2001-generate-begin-rule", - srcs = ["v2001-generate-begin-rule.cc"], - hdrs = ["v2001-generate-begin-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "v2001-generate-begin-rule_test", - srcs = ["v2001-generate-begin-rule_test.cc"], - deps = [ - ":forbidden-symbol-rule", - ":v2001-generate-begin-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "void-cast-rule", - srcs = ["void-cast-rule.cc"], - hdrs = ["void-cast-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/analysis/matcher:core-matchers", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "void-cast-rule_test", - srcs = ["void-cast-rule_test.cc"], - deps = [ - ":void-cast-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "undersized-binary-literal-rule", - srcs = ["undersized-binary-literal-rule.cc"], - hdrs = ["undersized-binary-literal-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/util:logging", - "//verilog/CST:numbers", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "undersized-binary-literal-rule_test", - srcs = ["undersized-binary-literal-rule_test.cc"], - deps = [ - ":undersized-binary-literal-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "truncated-numeric-literal-rule", - srcs = ["truncated-numeric-literal-rule.cc"], - hdrs = ["truncated-numeric-literal-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:numbers", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/numeric:int128", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "truncated-numeric-literal-rule_test", - srcs = ["truncated-numeric-literal-rule_test.cc"], - deps = [ - ":truncated-numeric-literal-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "create-object-name-match-rule", - srcs = ["create-object-name-match-rule.cc"], - hdrs = ["create-object-name-match-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/util:casts", - "//verilog/CST:expression", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "create-object-name-match-rule_test", - srcs = ["create-object-name-match-rule_test.cc"], - deps = [ - ":create-object-name-match-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "always-comb-rule", - srcs = ["always-comb-rule.cc"], - hdrs = ["always-comb-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//verilog/CST:statement", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "always-comb-rule_test", - srcs = ["always-comb-rule_test.cc"], - deps = [ - ":always-comb-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbid-line-continuations-rule", - srcs = ["forbid-line-continuations-rule.cc"], - hdrs = ["forbid-line-continuations-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbid-line-continuations-rule_test", - srcs = ["forbid-line-continuations-rule_test.cc"], - deps = [ - ":forbid-line-continuations-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "suggest-parentheses-rule", - srcs = ["suggest-parentheses-rule.cc"], - hdrs = ["suggest-parentheses-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//verilog/CST:expression", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "suggest-parentheses-rule_test", - srcs = ["suggest-parentheses-rule_test.cc"], - deps = [ - ":suggest-parentheses-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "always-comb-blocking-rule", - srcs = ["always-comb-blocking-rule.cc"], - hdrs = ["always-comb-blocking-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:syntax-tree-search", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//common/util:casts", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "always-comb-blocking-rule_test", - srcs = ["always-comb-blocking-rule_test.cc"], - deps = [ - ":always-comb-blocking-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "always-ff-non-blocking-rule", - srcs = ["always-ff-non-blocking-rule.cc"], - hdrs = ["always-ff-non-blocking-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:syntax-tree-search", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/util:casts", - "//common/util:logging", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "always-ff-non-blocking-rule_test", - srcs = ["always-ff-non-blocking-rule_test.cc"], - deps = [ - ":always-ff-non-blocking-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "explicit-begin-rule", - srcs = ["explicit-begin-rule.cc"], - hdrs = ["explicit-begin-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:token-stream-lint-rule", - "//common/text:config-utils", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/base:core_headers", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "explicit-begin-rule_test", - srcs = ["explicit-begin-rule_test.cc"], - deps = [ - ":explicit-begin-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:token-stream-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "explicit-function-lifetime-rule", - srcs = ["explicit-function-lifetime-rule.cc"], - hdrs = ["explicit-function-lifetime-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:context-functions", - "//verilog/CST:functions", - "//verilog/CST:identifier", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "explicit-function-lifetime-rule_test", - srcs = ["explicit-function-lifetime-rule_test.cc"], - deps = [ - ":explicit-function-lifetime-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "explicit-task-lifetime-rule", - srcs = ["explicit-task-lifetime-rule.cc"], - hdrs = ["explicit-task-lifetime-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//verilog/CST:context-functions", - "//verilog/CST:identifier", - "//verilog/CST:tasks", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "explicit-task-lifetime-rule_test", - srcs = ["explicit-task-lifetime-rule_test.cc"], - deps = [ - ":explicit-task-lifetime-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "packed-dimensions-rule", - srcs = ["packed-dimensions-rule.cc"], - hdrs = ["packed-dimensions-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:context-functions", - "//verilog/CST:dimensions", - "//verilog/CST:expression", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "packed-dimensions-rule_test", - srcs = ["packed-dimensions-rule_test.cc"], - deps = [ - ":packed-dimensions-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "unpacked-dimensions-rule", - srcs = ["unpacked-dimensions-rule.cc"], - hdrs = ["unpacked-dimensions-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:context-functions", - "//verilog/CST:dimensions", - "//verilog/CST:expression", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "unpacked-dimensions-rule_test", - srcs = ["unpacked-dimensions-rule_test.cc"], - deps = [ - ":unpacked-dimensions-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "forbid-negative-array-dim", - srcs = ["forbid-negative-array-dim.cc"], - hdrs = ["forbid-negative-array-dim.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//verilog/CST:expression", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "forbid-negative-array-dim_test", - srcs = ["forbid-negative-array-dim_test.cc"], - deps = [ - ":forbid-negative-array-dim", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "case-missing-default-rule", - srcs = ["case-missing-default-rule.cc"], - hdrs = ["case-missing-default-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/analysis/matcher:matcher-builders", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "case-missing-default-rule_test", - srcs = ["case-missing-default-rule_test.cc"], - deps = [ - ":case-missing-default-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "constraint-name-style-rule", - srcs = ["constraint-name-style-rule.cc"], - hdrs = ["constraint-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/strings:naming-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:constraints", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "constraint-name-style-rule_test", - srcs = ["constraint-name-style-rule_test.cc"], - deps = [ - ":constraint-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "disable-statement-rule", - srcs = ["disable-statement-rule.cc"], - hdrs = ["disable-statement-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//common/util:iterator-adaptors", - "//verilog/CST:identifier", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "disable-statement-rule_test", - srcs = ["disable-statement-rule_test.cc"], - deps = [ - ":disable-statement-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "macro-name-style-rule", - srcs = ["macro-name-style-rule.cc"], - hdrs = ["macro-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:token-stream-lint-rule", - "//common/text:config-utils", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], - alwayslink = 1, -) - -cc_test( - name = "macro-name-style-rule_test", - srcs = ["macro-name-style-rule_test.cc"], - deps = [ - ":macro-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:token-stream-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "macro-string-concatenation-rule", - srcs = ["macro-string-concatenation-rule.cc"], - hdrs = ["macro-string-concatenation-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:token-stream-lint-rule", - "//common/text:token-info", - "//common/util:value-saver", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "macro-string-concatenation-rule_test", - srcs = ["macro-string-concatenation-rule_test.cc"], - deps = [ - ":macro-string-concatenation-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:token-stream-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "numeric-format-string-style-rule", - srcs = ["numeric-format-string-style-rule.cc"], - hdrs = ["numeric-format-string-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:token-stream-lint-rule", - "//common/text:token-info", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "numeric-format-string-style-rule_test", - srcs = ["numeric-format-string-style-rule_test.cc"], - deps = [ - ":numeric-format-string-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:token-stream-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "parameter-name-style-rule", - srcs = ["parameter-name-style-rule.cc"], - hdrs = ["parameter-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:parameters", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], - alwayslink = 1, -) - -cc_test( - name = "parameter-name-style-rule_test", - srcs = ["parameter-name-style-rule_test.cc"], - deps = [ - ":parameter-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "parameter-type-name-style-rule", - srcs = ["parameter-type-name-style-rule.cc"], - hdrs = ["parameter-type-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/strings:naming-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:parameters", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "parameter-type-name-style-rule_test", - srcs = ["parameter-type-name-style-rule_test.cc"], - deps = [ - ":parameter-type-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "proper-parameter-declaration-rule", - srcs = ["proper-parameter-declaration-rule.cc"], - hdrs = ["proper-parameter-declaration-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:context-functions", - "//verilog/CST:parameters", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "proper-parameter-declaration-rule_test", - srcs = ["proper-parameter-declaration-rule_test.cc"], - deps = [ - ":proper-parameter-declaration-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "plusarg-assignment-rule", - srcs = ["plusarg-assignment-rule.cc"], - hdrs = ["plusarg-assignment-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "plusarg-assignment-rule_test", - srcs = ["plusarg-assignment-rule_test.cc"], - deps = [ - ":plusarg-assignment-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "port-name-suffix-rule", - srcs = ["port-name-suffix-rule.cc"], - hdrs = ["port-name-suffix-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/util:logging", - "//verilog/CST:port", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "port-name-suffix-rule_test", - srcs = ["port-name-suffix-rule_test.cc"], - deps = [ - ":port-name-suffix-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "explicit-parameter-storage-type-rule", - srcs = ["explicit-parameter-storage-type-rule.cc"], - hdrs = ["explicit-parameter-storage-type-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:parameters", - "//verilog/CST:verilog-matchers", # fixdeps: keep - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "explicit-parameter-storage-type-rule_test", - srcs = ["explicit-parameter-storage-type-rule_test.cc"], - deps = [ - ":explicit-parameter-storage-type-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "explicit-function-task-parameter-type-rule", - srcs = ["explicit-function-task-parameter-type-rule.cc"], - hdrs = ["explicit-function-task-parameter-type-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/util:logging", - "//verilog/CST:port", - "//verilog/CST:type", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "explicit-function-task-parameter-type-rule_test", - srcs = ["explicit-function-task-parameter-type-rule_test.cc"], - deps = [ - ":explicit-function-task-parameter-type-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "signal-name-style-rule", - srcs = ["signal-name-style-rule.cc"], - hdrs = ["signal-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-leaf", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/util:logging", - "//verilog/CST:data", - "//verilog/CST:net", - "//verilog/CST:port", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], - alwayslink = 1, -) - -cc_test( - name = "signal-name-style-rule_test", - srcs = ["signal-name-style-rule_test.cc"], - deps = [ - ":signal-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "struct-union-name-style-rule", - srcs = ["struct-union-name-style-rule.cc"], - hdrs = ["struct-union-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/util:logging", - "//verilog/CST:type", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "struct-union-name-style-rule_test", - srcs = ["struct-union-name-style-rule_test.cc"], - deps = [ - ":struct-union-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "interface-name-style-rule", - srcs = ["interface-name-style-rule.cc"], - hdrs = ["interface-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:module", - "//verilog/CST:type", - "//verilog/CST:verilog-matchers", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], - alwayslink = 1, -) - -cc_test( - name = "interface-name-style-rule_test", - srcs = ["interface-name-style-rule_test.cc"], - deps = [ - ":interface-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "instance-shadow-rule", - srcs = ["instance-shadow-rule.cc"], - hdrs = ["instance-shadow-rule.h"], - deps = [ - "//common/analysis:citation", - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis:syntax-tree-search", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//common/util:iterator-adaptors", - "//verilog/CST:identifier", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "instance-shadow-rule-test", - srcs = ["instance-shadow-rule_test.cc"], - deps = [ - ":instance-shadow-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter", - "//common/analysis:syntax-tree-linter-test-utils", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "legacy-genvar-declaration-rule", - srcs = ["legacy-genvar-declaration-rule.cc"], - hdrs = ["legacy-genvar-declaration-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//verilog/CST:identifier", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "legacy-genvar-declaration-rule_test", - srcs = ["legacy-genvar-declaration-rule_test.cc"], - deps = [ - ":legacy-genvar-declaration-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "legacy-generate-region-rule", - srcs = ["legacy-generate-region-rule.cc"], - hdrs = ["legacy-generate-region-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher:matcher-builders", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "legacy-generate-region-rule_test", - srcs = ["legacy-generate-region-rule_test.cc"], - deps = [ - ":legacy-generate-region-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "uvm-macro-semicolon-rule", - srcs = ["uvm-macro-semicolon-rule.cc"], - hdrs = ["uvm-macro-semicolon-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/text:concrete-syntax-leaf", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//verilog/CST:context-functions", - "//verilog/CST:macro", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "uvm-macro-semicolon-rule_test", - srcs = ["uvm-macro-semicolon-rule_test.cc"], - deps = [ - ":uvm-macro-semicolon-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "suspicious-semicolon-rule", - srcs = ["suspicious-semicolon-rule.cc"], - hdrs = ["suspicious-semicolon-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/text:concrete-syntax-tree", - "//common/text:syntax-tree-context", - "//common/text:tree-utils", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "@com_google_absl//absl/strings:string_view", - ], - alwayslink = 1, -) - -cc_test( - name = "suspicious-semicolon-rule_test", - srcs = ["suspicious-semicolon-rule_test.cc"], - deps = [ - ":suspicious-semicolon-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "dff-name-style-rule", - srcs = ["dff-name-style-rule.cc"], - hdrs = ["dff-name-style-rule.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/analysis:syntax-tree-lint-rule", - "//common/analysis/matcher", - "//common/analysis/matcher:bound-symbol-manager", - "//common/analysis/matcher:core-matchers", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:config-utils", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:tree-utils", - "//verilog/CST:expression", - "//verilog/CST:statement", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:descriptions", - "//verilog/analysis:lint-rule-registry", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], - alwayslink = 1, -) - -cc_test( - name = "dff-name-style-rule_test", - srcs = ["dff-name-style-rule_test.cc"], - deps = [ - ":dff-name-style-rule", - "//common/analysis:linter-test-utils", - "//common/analysis:syntax-tree-linter-test-utils", - "//verilog/analysis:verilog-analyzer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/log:check", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) diff --git a/verilog/formatting/BUILD b/verilog/formatting/BUILD deleted file mode 100644 index 0605107fa..000000000 --- a/verilog/formatting/BUILD +++ /dev/null @@ -1,328 +0,0 @@ -# This package SystemVerilog-specific code formatting functions. - -default_visibility = [ - "//verilog/tools/formatter:__pkg__", - "//verilog/tools/ls:__pkg__", -] - -package( - default_applicable_licenses = ["//:license"], - default_visibility = default_visibility, - features = ["layering_check"], -) - -# libraries - -cc_library( - name = "align", - srcs = ["align.cc"], - hdrs = ["align.h"], - deps = [ - ":format-style", - "//common/formatting:align", - "//common/formatting:format-token", - "//common/formatting:token-partition-tree", - "//common/formatting:unwrapped-line", - "//common/strings:position", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-context-visitor", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:logging", - "//common/util:value-saver", - "//verilog/CST:context-functions", - "//verilog/CST:declaration", - "//verilog/CST:verilog-nonterminals", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_library( - name = "tree-unwrapper", - srcs = ["tree-unwrapper.cc"], - hdrs = ["tree-unwrapper.h"], - deps = [ - ":format-style", - ":verilog-token", - "//common/formatting:format-token", - "//common/formatting:token-partition-tree", - "//common/formatting:tree-unwrapper", - "//common/formatting:unwrapped-line", - "//common/strings:display-utils", - "//common/strings:range", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:constants", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/text:tree-utils", - "//common/util:container-iterator-range", - "//common/util:enum-flags", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:tree-operations", - "//verilog/CST:declaration", - "//verilog/CST:macro", - "//verilog/CST:statement", - "//verilog/CST:verilog-nonterminals", - "//verilog/parser:verilog-parser", # only for verilog_symbol_name - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/base:core_headers", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "tree-unwrapper_test", - srcs = ["tree-unwrapper_test.cc"], - deps = [ - ":format-style", - ":tree-unwrapper", - "//common/formatting:format-token", - "//common/formatting:token-partition-tree", - "//common/formatting:unwrapped-line", - "//common/text:text-structure", - "//common/util:container-iterator-range", - "//common/util:logging", - "//common/util:spacer", - "//common/util:tree-operations", - "//common/util:vector-tree", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "verilog-token", - srcs = ["verilog-token.cc"], - hdrs = ["verilog-token.h"], - deps = [ - "//common/util:container-util", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/container:node_hash_map", - ], -) - -cc_test( - name = "verilog-token_test", - srcs = ["verilog-token_test.cc"], - deps = [ - ":verilog-token", - "//common/formatting:format-token", - "//common/text:token-info", - "//verilog/parser:verilog-token-enum", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "formatter", - srcs = ["formatter.cc"], - hdrs = [ - "formatter.h", - ], - deps = [ - ":align", - ":comment-controls", - ":format-style", - ":token-annotator", - ":tree-unwrapper", - "//common/formatting:format-token", - "//common/formatting:layout-optimizer", - "//common/formatting:line-wrap-searcher", - "//common/formatting:token-partition-tree", - "//common/formatting:unwrapped-line", - "//common/formatting:verification", - "//common/strings:diff", - "//common/strings:line-column-map", - "//common/strings:position", - "//common/strings:range", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:expandable-tree-view", - "//common/util:interval", - "//common/util:interval-set", - "//common/util:iterator-range", - "//common/util:logging", - "//common/util:spacer", - "//common/util:tree-operations", - "//common/util:vector-tree", - "//common/util:vector-tree-iterators", - "//verilog/CST:declaration", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis:verilog-equivalence", - "//verilog/parser:verilog-token-enum", - "//verilog/preprocessor:verilog-preprocess", - "@com_google_absl//absl/base:core_headers", - "@com_google_absl//absl/status", - "@com_google_absl//absl/status:statusor", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "formatter_test", - srcs = ["formatter_test.cc"], - deps = [ - ":format-style", - ":formatter", - "//common/formatting:align", - "//common/formatting:basic-format-style", - "//common/strings:display-utils", - "//common/strings:position", - "//common/text:text-structure", - "//common/util:interval", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_test( - name = "formatter-tuning_test", - srcs = ["formatter-tuning_test.cc"], - deps = [ - ":format-style", - ":formatter", - "//common/strings:position", - "//common/util:logging", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "comment-controls", - srcs = ["comment-controls.cc"], - hdrs = ["comment-controls.h"], - deps = [ - "//common/strings:comment-utils", - "//common/strings:display-utils", - "//common/strings:line-column-map", - "//common/strings:position", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:logging", - "//common/util:range", - "//common/util:spacer", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "comment-controls_test", - srcs = ["comment-controls_test.cc"], - deps = [ - ":comment-controls", - "//common/strings:line-column-map", - "//common/strings:position", - "//common/text:token-info-test-util", - "//verilog/analysis:verilog-analyzer", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "format-style", - hdrs = ["format-style.h"], - deps = [ - "//common/formatting:align", - "//common/formatting:basic-format-style", - ], -) - -cc_library( - name = "format-style-init", - srcs = ["format-style-init.cc"], - hdrs = ["format-style-init.h"], - deps = [ - ":format-style", - "//common/formatting:align", - "//common/formatting:basic-format-style", - "//common/formatting:basic-format-style-init", - "@com_google_absl//absl/flags:flag", - ], -) - -cc_library( - name = "token-annotator", - srcs = ["token-annotator.cc"], - hdrs = ["token-annotator.h"], - deps = [ - ":format-style", - ":verilog-token", - "//common/formatting:format-token", - "//common/formatting:tree-annotator", - "//common/strings:range", - "//common/text:symbol", - "//common/text:syntax-tree-context", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:logging", - "//common/util:with-reason", - "//verilog/CST:verilog-nonterminals", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-classifications", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "token-annotator_test", - srcs = ["token-annotator_test.cc"], - deps = [ - ":format-style", - ":token-annotator", - ":verilog-token", - "//common/formatting:format-token", - "//common/formatting:unwrapped-line", - "//common/formatting:unwrapped-line-test-utils", - "//common/text:concrete-syntax-tree", - "//common/text:symbol-ptr", - "//common/text:syntax-tree-context", - "//common/text:token-info", - "//common/text:token-info-test-util", - "//common/util:casts", - "//common/util:iterator-adaptors", - "//common/util:iterator-range", - "//common/util:logging", - "//verilog/CST:verilog-nonterminals", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) diff --git a/verilog/preprocessor/BUILD b/verilog/preprocessor/BUILD deleted file mode 100644 index 3e0513dcd..000000000 --- a/verilog/preprocessor/BUILD +++ /dev/null @@ -1,60 +0,0 @@ -# This package contains libraries related to SystemVerilog preprocessing. - -package( - default_applicable_licenses = ["//:license"], - default_visibility = [ - "//verilog:__subpackages__", - # TODO(b/130113490): standalone preprocessor tool - ], - features = ["layering_check"], -) - -cc_library( - name = "verilog-preprocess", - srcs = ["verilog-preprocess.cc"], - hdrs = ["verilog-preprocess.h"], - deps = [ - "//common/lexer:token-generator", - "//common/lexer:token-stream-adapter", - "//common/text:macro-definition", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:container-util", - "//common/util:logging", - "//common/util:status-macros", - "//verilog/analysis:verilog-filelist", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-parser", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/status:statusor", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "verilog-preprocess_test", - srcs = ["verilog-preprocess_test.cc"], - deps = [ - ":verilog-preprocess", - "//common/text:macro-definition", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:token-stream-view", - "//common/util:container-util", - "//common/util:file-util", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis:verilog-filelist", - "//verilog/analysis:verilog-project", - "//verilog/parser:verilog-lexer", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/status", - "@com_google_absl//absl/status:statusor", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) diff --git a/verilog/tools/ls/BUILD b/verilog/tools/ls/BUILD deleted file mode 100644 index 0bac38938..000000000 --- a/verilog/tools/ls/BUILD +++ /dev/null @@ -1,317 +0,0 @@ -# This package contains a SystemVerilog language server [1] implementation. -# -# [1]: https://microsoft.github.io/language-server-protocol/specification - -load("//bazel:sh_test_with_runfiles_lib.bzl", "sh_test_with_runfiles_lib") -load("//bazel:variables.bzl", "STATIC_EXECUTABLES_FEATURE") - -package( - default_applicable_licenses = ["//:license"], - default_visibility = ["//visibility:private"], - features = ["layering_check"], -) - -cc_library( - name = "autoexpand", - srcs = ["autoexpand.cc"], - hdrs = ["autoexpand.h"], - deps = [ - ":lsp-parse-buffer", - ":symbol-table-handler", - "//common/analysis:syntax-tree-search", - "//common/lsp:lsp-protocol", - "//common/strings:line-column-map", - "//common/strings:position", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/util:logging", - "//verilog/CST:declaration", - "//verilog/CST:dimensions", - "//verilog/CST:module", - "//verilog/CST:net", - "//verilog/CST:port", - "//verilog/CST:type", - "//verilog/CST:verilog-matchers", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:verilog-analyzer", - "//verilog/formatting:format-style", - "//verilog/formatting:format-style-init", - "//verilog/formatting:formatter", - "@com_google_absl//absl/container:flat_hash_map", - "@com_google_absl//absl/container:flat_hash_set", - "@com_google_absl//absl/container:node_hash_map", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_googlesource_code_re2//:re2", - ], -) - -cc_library( - name = "lsp-parse-buffer", - srcs = ["lsp-parse-buffer.cc"], - hdrs = ["lsp-parse-buffer.h"], - deps = [ - "//common/analysis:lint-rule-status", - "//common/lsp:lsp-file-utils", - "//common/lsp:lsp-text-buffer", - "//common/util:logging", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis:verilog-linter", - "//verilog/analysis:verilog-linter-configuration", - "@com_google_absl//absl/status", - "@com_google_absl//absl/status:statusor", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_test( - name = "lsp-parse-buffer_test", - srcs = ["lsp-parse-buffer_test.cc"], - deps = [ - ":lsp-parse-buffer", - "//common/lsp:lsp-text-buffer", - "//common/text:text-structure", - "@com_google_absl//absl/strings", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "verible-lsp-adapter", - srcs = ["verible-lsp-adapter.cc"], - hdrs = ["verible-lsp-adapter.h"], - deps = [ - ":autoexpand", - ":document-symbol-filler", - ":lsp-parse-buffer", - ":symbol-table-handler", - "//common/analysis:file-analyzer", - "//common/analysis:lint-rule-status", - "//common/lsp:lsp-protocol", - "//common/lsp:lsp-protocol-enums", - "//common/lsp:lsp-protocol-operators", - "//common/strings:line-column-map", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:interval", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis:verilog-linter", - "//verilog/formatting:format-style", - "//verilog/formatting:format-style-init", - "//verilog/formatting:formatter", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@jsonhpp//:singleheader-json", - ], -) - -cc_library( - name = "lsp-conversion", - hdrs = ["lsp-conversion.h"], - deps = [ - "//common/lsp:lsp-protocol", - "//common/strings:line-column-map", - ], -) - -cc_library( - name = "document-symbol-filler", - srcs = ["document-symbol-filler.cc"], - hdrs = ["document-symbol-filler.h"], - deps = [ - ":lsp-conversion", - "//common/lsp:lsp-protocol", - "//common/lsp:lsp-protocol-enums", - "//common/text:text-structure", - "//common/text:token-info", - "//common/text:tree-utils", - "//common/text:visitors", - "//common/util:value-saver", - "//verilog/CST:class", - "//verilog/CST:functions", - "//verilog/CST:module", - "//verilog/CST:package", - "//verilog/CST:seq-block", - "//verilog/CST:verilog-nonterminals", - "@jsonhpp//:singleheader-json", - ], -) - -cc_library( - name = "hover", - srcs = ["hover.cc"], - hdrs = ["hover.h"], - deps = [ - ":lsp-parse-buffer", - ":symbol-table-handler", - "//common/lsp:lsp-protocol", - "//common/text:concrete-syntax-leaf", - "//common/text:concrete-syntax-tree", - "//common/text:symbol", - "//common/text:token-info", - "//common/text:tree-context-visitor", - "//common/text:tree-utils", - "//common/util:casts", - "//common/util:range", - "//verilog/CST:seq-block", - "//verilog/CST:verilog-nonterminals", - "//verilog/analysis:symbol-table", - "//verilog/parser:verilog-token-enum", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - ], -) - -cc_library( - name = "symbol-table-handler", - srcs = ["symbol-table-handler.cc"], - hdrs = ["symbol-table-handler.h"], - deps = [ - ":lsp-conversion", - ":lsp-parse-buffer", - "//common/lsp:lsp-file-utils", - "//common/lsp:lsp-protocol", - "//common/strings:line-column-map", - "//common/text:symbol", - "//common/text:text-structure", - "//common/text:token-info", - "//common/util:file-util", - "//common/util:iterator-adaptors", - "//common/util:logging", - "//common/util:range", - "//verilog/analysis:symbol-table", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis:verilog-filelist", - "//verilog/analysis:verilog-project", - "@com_google_absl//absl/container:flat_hash_map", - "@com_google_absl//absl/flags:flag", - "@com_google_absl//absl/log", - "@com_google_absl//absl/status", - "@com_google_absl//absl/status:statusor", - "@com_google_absl//absl/strings:str_format", - "@com_google_absl//absl/strings:string_view", - "@com_google_absl//absl/time", - "@com_google_absl//absl/types:optional", - ], -) - -cc_test( - name = "symbol-table-handler_test", - srcs = ["symbol-table-handler_test.cc"], - deps = [ - ":lsp-parse-buffer", - ":symbol-table-handler", - "//common/lsp:lsp-file-utils", - "//common/lsp:lsp-protocol", - "//common/lsp:lsp-text-buffer", - "//common/util:file-util", - "//verilog/analysis:verilog-project", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - ], -) - -cc_library( - name = "verilog-language-server", - srcs = ["verilog-language-server.cc"], - hdrs = ["verilog-language-server.h"], - deps = [ - ":hover", - ":lsp-parse-buffer", - ":symbol-table-handler", - ":verible-lsp-adapter", - "//common/lsp:json-rpc-dispatcher", - "//common/lsp:lsp-file-utils", - "//common/lsp:lsp-protocol", - "//common/lsp:lsp-text-buffer", - "//common/lsp:message-stream-splitter", - "//common/util:file-util", - "//common/util:init-command-line", - "//common/util:logging", - "//verilog/analysis:verilog-project", - "@com_google_absl//absl/flags:flag", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - "@jsonhpp//:singleheader-json", - ], -) - -cc_test( - name = "verilog-language-server_test", - srcs = ["verilog-language-server_test.cc"], - deps = [ - ":verilog-language-server", - "//common/lsp:lsp-file-utils", - "//common/lsp:lsp-protocol", - "//common/lsp:lsp-protocol-enums", - "//common/strings:line-column-map", - "//common/util:file-util", - "//verilog/analysis:verilog-linter", - "@com_google_absl//absl/flags:flag", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - "@jsonhpp//:singleheader-json", - ], -) - -cc_binary( - name = "verible-verilog-ls", - srcs = ["verible-verilog-ls.cc"], - features = STATIC_EXECUTABLES_FEATURE, - visibility = ["//visibility:public"], - deps = [ - ":verilog-language-server", - "//common/util:init-command-line", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings:string_view", - ], -) - -sh_test_with_runfiles_lib( - name = "verible-verilog-ls_test", - size = "small", - srcs = ["verible-verilog-ls_test.sh"], - args = [ - "$(location :verible-verilog-ls)", - "$(location //common/lsp:json-rpc-expect)", - ], - data = [ - ":verible-verilog-ls", - "//common/lsp:json-rpc-expect", - ], - deps = [], -) - -cc_test( - name = "autoexpand_test", - srcs = ["autoexpand_test.cc"], - deps = [ - ":autoexpand", - ":lsp-parse-buffer", - ":symbol-table-handler", - "//common/lsp:lsp-protocol", - "//common/lsp:lsp-text-buffer", - "//common/text:text-structure", - "//verilog/analysis:verilog-analyzer", - "//verilog/analysis:verilog-project", - "//verilog/formatting:format-style", - "//verilog/formatting:format-style-init", - "//verilog/formatting:formatter", - "@com_google_absl//absl/status", - "@com_google_absl//absl/strings", - "@com_google_absl//absl/strings:string_view", - "@com_google_googletest//:gtest", - "@com_google_googletest//:gtest_main", - "@jsonhpp//:singleheader-json", - ], -)