From fdcd498c2a3805b1b5e43bedf5b924d591a9b3ff Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Fri, 11 Oct 2024 21:34:19 +0800 Subject: [PATCH 01/23] [build system] remove legacy Elaborator --- configgen/src/Main.scala | 270 -------------------------------------- elaborator/src/Main.scala | 115 ---------------- 2 files changed, 385 deletions(-) delete mode 100644 configgen/src/Main.scala delete mode 100644 elaborator/src/Main.scala diff --git a/configgen/src/Main.scala b/configgen/src/Main.scala deleted file mode 100644 index 1c4a468ba..000000000 --- a/configgen/src/Main.scala +++ /dev/null @@ -1,270 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 -// SPDX-FileCopyrightText: 2022 Jiuyang Liu - -package org.chipsalliance.t1.configgen - -import chisel3.experimental.SerializableModuleGenerator -import chisel3.util.{log2Ceil, BitPat} -import chisel3.util.experimental.BitSet -import mainargs._ -import org.chipsalliance.t1.rtl._ -import org.chipsalliance.t1.rtl.decoder.T1CustomInstruction -import org.chipsalliance.t1.rtl.vrf.RamType - -import java.util.LinkedHashMap - -object Main { - implicit object PathRead extends TokensReader.Simple[os.Path] { - def shortName = "path" - def read(strs: Seq[String]): Either[String, os.Path] = Right(os.Path(strs.head, os.pwd)) - } - implicit class EmitVParameter(p: T1Parameter) { - def emit(targetFile: os.Path) = os.write( - targetFile, - upickle.default.write(SerializableModuleGenerator(classOf[T1], p), indent = 2) - ) - } - - @main def listConfigs( - @arg(name = "project-dir", short = 't') projectDir: os.Path = os.pwd - ): Unit = { - val declaredMethods = - Main.getClass().getDeclaredMethods().filter(m => m.getParameterTypes().mkString(", ") == "class os.Path, boolean") - - import scala.io.AnsiColor._ - - declaredMethods.foreach(configgen => { - val param = configgen.invoke(Main, os.root / "dev" / "null", false) - println(s"""${BOLD}${MAGENTA_B} ${configgen.getName()} ${RESET} - | ${param.toString()}""".stripMargin) - }) - } - - @main def updateConfigs( - @arg(name = "project-dir", short = 't') projectDir: os.Path = os.pwd - ): Unit = { - val declaredMethods = - Main.getClass().getDeclaredMethods().filter(m => m.getParameterTypes().mkString(", ") == "class os.Path, boolean") - - import scala.io.AnsiColor._ - - val generatedDir = projectDir / "configgen" / "generated" - os.list(generatedDir).foreach(f => os.remove(f)) - - declaredMethods.foreach(configgen => { - val configName = configgen.getName() - configgen.invoke(Main, generatedDir / s"$configName.json", true) - }) - } - - // DLEN256 VLEN256; FP; VRF p0rw,p1rw bank1; LSU bank8 beatbyte 8 - @main def blastoise( - @arg(name = "target-file", short = 't') targetFile: os.Path, - @arg(name = "emit", short = 'e', doc = "emit config") doEmit: Boolean = true - ): T1Parameter = { - val vLen = 512 - val dLen = 256 - val param = T1Parameter( - vLen, - dLen, - extensions = Seq("Zve32f"), - t1customInstructions = Nil, - vrfBankSize = 1, - vrfRamType = RamType.p0rwp1rw, - vfuInstantiateParameter = VFUInstantiateParameter( - slotCount = 4, - logicModuleParameters = Seq( - (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) - ), - aluModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) - ), - shifterModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) - ), - mulModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) - ), - divModuleParameters = Seq(), - divfpModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), - otherModuleParameters = Seq( - ( - SerializableModuleGenerator( - classOf[OtherUnit], - OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) - ), - Seq(0, 1, 2, 3) - ) - ), - floatModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), - zvbbModuleParameters = Seq() - ) - ) - if (doEmit) param.emit(targetFile) - param - } - - // DLEN256 VLEN256; FP; VRF p0rw,p1rw bank1; LSU bank8 beatbyte 8; Zvbb - @main def psyduck( - @arg(name = "target-file", short = 't') targetFile: os.Path, - @arg(name = "emit", short = 'e', doc = "emit config") doEmit: Boolean = true - ): T1Parameter = { - val vLen = 512 - val dLen = 256 - val param = T1Parameter( - vLen, - dLen, - extensions = Seq("Zve32f", "Zvbb"), - t1customInstructions = Nil, - vrfBankSize = 1, - vrfRamType = RamType.p0rwp1rw, - vfuInstantiateParameter = VFUInstantiateParameter( - slotCount = 4, - logicModuleParameters = Seq( - (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) - ), - aluModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) - ), - shifterModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) - ), - mulModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) - ), - divModuleParameters = Seq(), - divfpModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), - otherModuleParameters = Seq( - ( - SerializableModuleGenerator( - classOf[OtherUnit], - OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) - ), - Seq(0, 1, 2, 3) - ) - ), - floatModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), - zvbbModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneZvbb], LaneZvbbParam(32, 3)), Seq(0, 1, 2, 3))) - ) - ) - if (doEmit) param.emit(targetFile) - param - } - - // DLEN512 VLEN1K ; NOFP; VRF p0r,p1w bank2; LSU bank8 beatbyte 16 - @main def machamp( - @arg(name = "target-file", short = 't') targetFile: os.Path, - @arg(name = "emit", short = 'e', doc = "emit config") doEmit: Boolean = true - ): T1Parameter = { - val vLen = 1024 - val dLen = 512 - val param = T1Parameter( - vLen, - dLen, - extensions = Seq("Zve32x"), - t1customInstructions = Nil, - vrfBankSize = 2, - vrfRamType = RamType.p0rp1w, - vfuInstantiateParameter = VFUInstantiateParameter( - slotCount = 4, - logicModuleParameters = Seq( - (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) - ), - aluModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) - ), - shifterModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) - ), - mulModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) - ), - divModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneDiv], LaneDivParam(32, 1)), Seq(0, 1, 2, 3)) - ), - divfpModuleParameters = Seq(), - otherModuleParameters = Seq( - ( - SerializableModuleGenerator( - classOf[OtherUnit], - OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) - ), - Seq(0, 1, 2, 3) - ) - ), - floatModuleParameters = Seq(), - zvbbModuleParameters = Seq() // TODO - ) - ) - if (doEmit) param.emit(targetFile) - param - } - - // DLEN1K VLEN4K ; NOFP; VRF p0rw bank4; LSU bank16 beatbyte 16 - @main def sandslash( - @arg(name = "target-file", short = 't') targetFile: os.Path, - @arg(name = "emit", short = 'e', doc = "emit config") doEmit: Boolean = true - ): T1Parameter = { - val vLen = 4096 - val dLen = 1024 - val param = T1Parameter( - vLen, - dLen, - extensions = Seq("Zve32x"), - t1customInstructions = Nil, - vrfBankSize = 4, - vrfRamType = RamType.p0rw, - vfuInstantiateParameter = VFUInstantiateParameter( - slotCount = 4, - logicModuleParameters = Seq( - (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) - ), - aluModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) - ), - shifterModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) - ), - mulModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) - ), - divModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneDiv], LaneDivParam(32, 1)), Seq(0, 1, 2, 3)) - ), - divfpModuleParameters = Seq(), - otherModuleParameters = Seq( - ( - SerializableModuleGenerator( - classOf[OtherUnit], - OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) - ), - Seq(0, 1, 2, 3) - ) - ), - floatModuleParameters = Seq(), - zvbbModuleParameters = Seq() // TODO - ) - ) - if (doEmit) param.emit(targetFile) - param - } - - def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) -} diff --git a/elaborator/src/Main.scala b/elaborator/src/Main.scala deleted file mode 100644 index 260151a47..000000000 --- a/elaborator/src/Main.scala +++ /dev/null @@ -1,115 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 -// SPDX-FileCopyrightText: 2022 Jiuyang Liu - -package org.chipsalliance.t1.elaborator - -import mainargs._ -import org.chipsalliance.t1.rtl.T1Parameter -import org.chipsalliance.rocketv.RocketTileParameter -import chisel3.panamalib.option._ -import org.chipsalliance.t1.tile.T1RocketTileParameter - -object Main { - implicit object PathRead extends TokensReader.Simple[os.Path] { - def shortName = "path" - def read(strs: Seq[String]): Either[String, os.Path] = Right(os.Path(strs.head, os.pwd)) - } - - @main - case class ElaborateConfig( - @arg(name = "target-dir", short = 't') targetDir: os.Path, - @arg(name = "binder-mlirbc-out") binderMlirbcOut: Option[String] = None) { - def elaborate(gen: () => chisel3.RawModule): Unit = { - var fir: firrtl.ir.Circuit = null - var panamaCIRCTConverter: chisel3.panamaconverter.PanamaCIRCTConverter = null - - val annos = Seq( - new chisel3.stage.phases.Elaborate, - if (binderMlirbcOut.isEmpty) new chisel3.stage.phases.Convert else chisel3.panamaconverter.stage.Convert - ).foldLeft( - Seq( - chisel3.stage.ChiselGeneratorAnnotation(gen), - chisel3.panamaconverter.stage.FirtoolOptionsAnnotation( - FirtoolOptions( - Set( - BuildMode(BuildModeDebug), - PreserveValues(PreserveValuesModeNamed), - DisableUnknownAnnotations(true) - ) - ) - ) - ): firrtl.AnnotationSeq - ) { case (annos, stage) => stage.transform(annos) } - .flatMap { - case firrtl.stage.FirrtlCircuitAnnotation(circuit) => - if (binderMlirbcOut.isEmpty) fir = circuit - None - case chisel3.panamaconverter.stage.PanamaCIRCTConverterAnnotation(converter) => - if (binderMlirbcOut.nonEmpty) panamaCIRCTConverter = converter - None - case _: chisel3.panamaconverter.stage.FirtoolOptionsAnnotation => None - case _: chisel3.stage.DesignAnnotation[_] => None - case _: chisel3.stage.ChiselCircuitAnnotation => None - case a => Some(a) - } - - binderMlirbcOut match { - case Some(outFile) => - os.write(targetDir / s"$outFile.mlirbc", panamaCIRCTConverter.mlirBytecodeStream) - case None => - os.write(targetDir / s"${fir.main}.fir", fir.serialize) - os.write(targetDir / s"${fir.main}.anno.json", firrtl.annotations.JsonProtocol.serialize(annos)) - } - } - } - - implicit def elaborateConfig: ParserForClass[ElaborateConfig] = ParserForClass[ElaborateConfig] - - case class IPConfig( - @arg(name = "ip-config", short = 'c') ipConfig: os.Path) { - def generator = upickle.default - .read[chisel3.experimental.SerializableModuleGenerator[ - org.chipsalliance.t1.rtl.T1, - org.chipsalliance.t1.rtl.T1Parameter - ]](ujson.read(os.read(ipConfig))) - def parameter: T1Parameter = generator.parameter - } - - case class RocketConfig( - @arg(name = "rocket-config", short = 'c') rocketConfig: os.Path) { - def generator = upickle.default - .read[chisel3.experimental.SerializableModuleGenerator[ - org.chipsalliance.rocketv.RocketTile, - org.chipsalliance.rocketv.RocketTileParameter - ]](ujson.read(os.read(rocketConfig))) - def parameter: RocketTileParameter = generator.parameter - } - - case class T1RocketConfig( - @arg(name = "t1rocket-config", short = 'c') rocketConfig: os.Path) { - def generator = upickle.default - .read[chisel3.experimental.SerializableModuleGenerator[ - org.chipsalliance.t1.tile.T1RocketTile, - org.chipsalliance.t1.tile.T1RocketTileParameter - ]](ujson.read(os.read(rocketConfig))) - def parameter: T1RocketTileParameter = generator.parameter - } - - implicit def ipConfig: ParserForClass[IPConfig] = ParserForClass[IPConfig] - implicit def rocketConfig: ParserForClass[RocketConfig] = ParserForClass[RocketConfig] - implicit def t1RocketConfig: ParserForClass[T1RocketConfig] = ParserForClass[T1RocketConfig] - - @main def ip(elaborateConfig: ElaborateConfig, ipConfig: IPConfig): Unit = - elaborateConfig.elaborate(() => ipConfig.generator.module()) - - @main def t1emu(elaborateConfig: ElaborateConfig, ipConfig: IPConfig): Unit = - elaborateConfig.elaborate(() => new org.chipsalliance.t1.t1emu.TestBench(ipConfig.generator)) - - @main def rocketemu(elaborateConfig: ElaborateConfig, rocketConfig: RocketConfig): Unit = - elaborateConfig.elaborate(() => new org.chipsalliance.t1.rocketv.TestBench(rocketConfig.generator)) - - @main def t1rocketemu(elaborateConfig: ElaborateConfig, t1rocketConfig: T1RocketConfig): Unit = - elaborateConfig.elaborate(() => new org.chipsalliance.t1.t1rocketemu.TestBench(t1rocketConfig.generator)) - - def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) -} From 604723f394178909af70d70e29159d8e1437f18a Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Fri, 11 Oct 2024 21:35:24 +0800 Subject: [PATCH 02/23] [build system] make TestBench Serializable --- t1emu/src/TestBench.scala | 19 ++++++++++--------- t1rocketemu/src/TestBench.scala | 17 ++++++++++------- 2 files changed, 20 insertions(+), 16 deletions(-) diff --git a/t1emu/src/TestBench.scala b/t1emu/src/TestBench.scala index 1896f6f8c..32ec3fa25 100644 --- a/t1emu/src/TestBench.scala +++ b/t1emu/src/TestBench.scala @@ -6,7 +6,8 @@ package org.chipsalliance.t1.t1emu import chisel3._ import chisel3.experimental.dataview.DataViewable import chisel3.experimental.hierarchy.{instantiable, public, Instance, Instantiate} -import chisel3.experimental.{ExtModule, SerializableModuleGenerator} +import chisel3.experimental.{ExtModule, SerializableModule, SerializableModuleGenerator} +import chisel3.ltl.{CoverProperty, Sequence} import chisel3.properties.{AnyClassType, Class, ClassType, Property} import chisel3.util.circt.dpi.{ RawClockedNonVoidFunctionCall, @@ -14,11 +15,10 @@ import chisel3.util.circt.dpi.{ RawUnclockedNonVoidFunctionCall } import chisel3.util.{BitPat, HasExtModuleInline, PopCount, UIntToOH, Valid} -import chisel3.ltl.{CoverProperty, Sequence} import org.chipsalliance.amba.axi4.bundle._ -import org.chipsalliance.t1.t1emu.dpi._ -import org.chipsalliance.t1.rtl.{T1, T1Parameter} import org.chipsalliance.rvdecoderdb.Instruction +import org.chipsalliance.t1.rtl.{T1, T1Parameter} +import org.chipsalliance.t1.t1emu.dpi._ @instantiable class TestBenchOM extends Class { @@ -29,8 +29,9 @@ class TestBenchOM extends Class { t1 := t1In } -class TestBench(generator: SerializableModuleGenerator[T1, T1Parameter]) +class TestBench(val parameter: T1Parameter) extends RawModule + with SerializableModule[T1Parameter] with ImplicitClock with ImplicitReset { layer.enable(layers.Verification) @@ -83,7 +84,7 @@ class TestBench(generator: SerializableModuleGenerator[T1, T1Parameter]) def reset = clockGen.reset override def implicitClock = clockGen.clock.asClock override def implicitReset = clockGen.reset - val dut: Instance[T1] = generator.instance() + val dut: Instance[T1] = SerializableModuleGenerator(classOf[T1], parameter).instance() val simulationTime: UInt = RegInit(0.U(64.W)) simulationTime := simulationTime + 1.U @@ -249,7 +250,7 @@ class TestBench(generator: SerializableModuleGenerator[T1, T1Parameter]) when(lsuProbe.reqEnq.orR)(printf(cf"""{"event":"LsuEnq","enq":${lsuProbe.reqEnq},"cycle":${simulationTime}}\n""")) // allocate 2 * chainingSize scoreboards - val vrfWriteScoreboard: Seq[Valid[UInt]] = Seq.tabulate(2 * generator.parameter.chainingSize) { _ => + val vrfWriteScoreboard: Seq[Valid[UInt]] = Seq.tabulate(2 * parameter.chainingSize) { _ => RegInit(0.U.asTypeOf(Valid(UInt(16.W)))) } vrfWriteScoreboard.foreach(scoreboard => dontTouch(scoreboard)) @@ -257,7 +258,7 @@ class TestBench(generator: SerializableModuleGenerator[T1, T1Parameter]) (laneProbes.map(laneProbe => laneProbe.instructionValid ## laneProbe.instructionValid) :+ lsuProbe.lsuInstructionValid :+ t1Probe.instructionValid).reduce(_ | _) val scoreboardEnq = - Mux(t1Probe.instructionIssue, UIntToOH(t1Probe.issueTag), 0.U((2 * generator.parameter.chainingSize).W)) + Mux(t1Probe.instructionIssue, UIntToOH(t1Probe.issueTag), 0.U((2 * parameter.chainingSize).W)) vrfWriteScoreboard.zipWithIndex.foreach { case (scoreboard, tag) => val writeEnq: UInt = VecInit( // vrf write from lane @@ -285,7 +286,7 @@ class TestBench(generator: SerializableModuleGenerator[T1, T1Parameter]) scoreboard.bits := 0.U } } - generator.parameter.decoderParam.allInstructions.map { instruction: Instruction => + parameter.decoderParam.allInstructions.map { instruction: Instruction => val issueMatch = Sequence.BoolSequence(issue.instruction === BitPat("b" + instruction.encoding.toString)) CoverProperty(issueMatch, label = Some(s"t1_cover_issue_${instruction.name}")) } diff --git a/t1rocketemu/src/TestBench.scala b/t1rocketemu/src/TestBench.scala index 5700de66f..687c6674d 100644 --- a/t1rocketemu/src/TestBench.scala +++ b/t1rocketemu/src/TestBench.scala @@ -4,16 +4,18 @@ package org.chipsalliance.t1.t1rocketemu import chisel3._ -import chisel3.experimental.{BaseModule, ExtModule, SerializableModuleGenerator} import chisel3.experimental.dataview.DataViewable +import chisel3.experimental.hierarchy.Instance +import chisel3.experimental.{ExtModule, SerializableModule, SerializableModuleGenerator} import chisel3.util.circt.dpi.{RawClockedNonVoidFunctionCall, RawUnclockedNonVoidFunctionCall} -import chisel3.util.{HasExtModuleInline, Mux1H, PopCount, Queue, UIntToOH, Valid} +import chisel3.util.{HasExtModuleInline, PopCount, UIntToOH, Valid} import org.chipsalliance.amba.axi4.bundle._ import org.chipsalliance.t1.t1rocketemu.dpi._ import org.chipsalliance.t1.tile.{T1RocketTile, T1RocketTileParameter} -class TestBench(generator: SerializableModuleGenerator[T1RocketTile, T1RocketTileParameter]) +class TestBench(val parameter: T1RocketTileParameter) extends RawModule + with SerializableModule[T1RocketTileParameter] with ImplicitClock with ImplicitReset { layer.enable(layers.Verification) @@ -65,7 +67,8 @@ class TestBench(generator: SerializableModuleGenerator[T1RocketTile, T1RocketTil def reset = clockGen.reset override def implicitClock = clockGen.clock.asClock override def implicitReset = clockGen.reset - val dut: T1RocketTile with BaseModule = Module(generator.module()) + val dut: Instance[T1RocketTile] = SerializableModuleGenerator(classOf[T1RocketTile], parameter).instance() + dut.io.clock := clock dut.io.reset := reset @@ -201,7 +204,7 @@ class TestBench(generator: SerializableModuleGenerator[T1RocketTile, T1RocketTil ) // [[option]] rocket fpu reg write - generator.parameter.fpuParameter.zip(t1RocketProbe.fpuProbe).zip(rocketProbe.fpuScoreboard).map { + parameter.fpuParameter.zip(t1RocketProbe.fpuProbe).zip(rocketProbe.fpuScoreboard).map { case ((fpuParameter, fpu), fpuScoreboard) => { val fpToIEEE = Module( new FPToIEEE( @@ -288,7 +291,7 @@ class TestBench(generator: SerializableModuleGenerator[T1RocketTile, T1RocketTil ) // t1 vrf scoreboard - val vrfWriteScoreboard: Seq[Valid[UInt]] = Seq.tabulate(2 * generator.parameter.t1Parameter.chainingSize) { _ => + val vrfWriteScoreboard: Seq[Valid[UInt]] = Seq.tabulate(2 * parameter.t1Parameter.chainingSize) { _ => RegInit(0.U.asTypeOf(Valid(UInt(16.W)))) } vrfWriteScoreboard.foreach(scoreboard => dontTouch(scoreboard)) @@ -296,7 +299,7 @@ class TestBench(generator: SerializableModuleGenerator[T1RocketTile, T1RocketTil (laneProbes.map(laneProbe => laneProbe.instructionValid ## laneProbe.instructionValid) :+ lsuProbe.lsuInstructionValid :+ t1Probe.instructionValid).reduce(_ | _) val scoreboardEnq = - Mux(t1Probe.instructionIssue, UIntToOH(t1Probe.issueTag), 0.U((2 * generator.parameter.t1Parameter.chainingSize).W)) + Mux(t1Probe.instructionIssue, UIntToOH(t1Probe.issueTag), 0.U((2 * parameter.t1Parameter.chainingSize).W)) vrfWriteScoreboard.zipWithIndex.foreach { case (scoreboard, tag) => val writeEnq: UInt = VecInit( // vrf write from lane From f48534b52b1bc244ed960360ff12d1adf98a6bb1 Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Fri, 11 Oct 2024 21:38:31 +0800 Subject: [PATCH 03/23] [build system] use SerializableModuleElaborator from upstream --- elaborator/src/Elaborator.scala | 80 ------------------- elaborator/src/rocketv/ALU.scala | 28 +++++-- elaborator/src/rocketv/AMOALU.scala | 24 ++++-- elaborator/src/rocketv/BTB.scala | 24 ++++-- elaborator/src/rocketv/BreakpointUnit.scala | 24 ++++-- elaborator/src/rocketv/CSR.scala | 24 ++++-- elaborator/src/rocketv/DCache.scala | 24 ++++-- elaborator/src/rocketv/Decoder.scala | 24 ++++-- elaborator/src/rocketv/FPToFP.scala | 24 ++++-- elaborator/src/rocketv/FPToInt.scala | 24 ++++-- elaborator/src/rocketv/FPU.scala | 24 ++++-- elaborator/src/rocketv/FPUFMAPipe.scala | 24 ++++-- elaborator/src/rocketv/Frontend.scala | 24 ++++-- elaborator/src/rocketv/IBuf.scala | 24 ++++-- elaborator/src/rocketv/ICache.scala | 24 ++++-- elaborator/src/rocketv/IntToFP.scala | 24 ++++-- elaborator/src/rocketv/MulAddRecFNPipe.scala | 24 ++++-- elaborator/src/rocketv/MulDiv.scala | 24 ++++-- elaborator/src/rocketv/PMAChecker.scala | 23 ++++-- elaborator/src/rocketv/PMP.scala | 24 ++++-- elaborator/src/rocketv/PTW.scala | 24 ++++-- .../src/rocketv/PipelinedMultiplier.scala | 24 ++++-- elaborator/src/rocketv/RVCExpander.scala | 24 ++++-- elaborator/src/rocketv/Rocket.scala | 24 ++++-- elaborator/src/rocketv/RocketTile.scala | 24 ++++-- elaborator/src/rocketv/TLB.scala | 24 ++++-- elaborator/src/t1rocket/T1RocketTile.scala | 23 +++++- 27 files changed, 495 insertions(+), 211 deletions(-) delete mode 100644 elaborator/src/Elaborator.scala diff --git a/elaborator/src/Elaborator.scala b/elaborator/src/Elaborator.scala deleted file mode 100644 index ab9bcb434..000000000 --- a/elaborator/src/Elaborator.scala +++ /dev/null @@ -1,80 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 -// SPDX-FileCopyrightText: 2024 Jiuyang Liu -package org.chipsalliance.t1.elaborator - -import chisel3.RawModule -import chisel3.experimental.{SerializableModule, SerializableModuleGenerator, SerializableModuleParameter} -import mainargs.TokensReader - -import scala.reflect.runtime.universe -import scala.reflect.runtime.universe.{runtimeMirror, typeOf} - -// TODO: this will be upstreamed to Chisel -trait Elaborator { - implicit object PathRead extends TokensReader.Simple[os.Path] { - def shortName = "path" - def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) - } - - def configImpl[P <: SerializableModuleParameter: universe.TypeTag]( - parameter: P - )( - implicit rwP: upickle.default.Writer[P] - ) = os.write.over( - os.pwd / s"${getClass.getSimpleName.replace("$", "")}.json", - upickle.default.write(parameter) - ) - - def designImpl[M <: SerializableModule[P]: universe.TypeTag, P <: SerializableModuleParameter: universe.TypeTag]( - parameter: os.Path, - runFirtool: Boolean - )( - implicit - rwP: upickle.default.Reader[P] - ) = { - var fir: firrtl.ir.Circuit = null - val annos = Seq( - new chisel3.stage.phases.Elaborate, - new chisel3.stage.phases.Convert - ).foldLeft( - Seq( - chisel3.stage.ChiselGeneratorAnnotation(() => - SerializableModuleGenerator( - runtimeMirror(getClass.getClassLoader) - .runtimeClass(typeOf[M].typeSymbol.asClass) - .asInstanceOf[Class[M]], - upickle.default.read[P](os.read(parameter)) - ).module().asInstanceOf[RawModule] - ) - ): firrtl.AnnotationSeq - ) { case (annos, stage) => stage.transform(annos) } - .flatMap { - case firrtl.stage.FirrtlCircuitAnnotation(circuit) => - fir = circuit - None - case _: chisel3.stage.DesignAnnotation[_] => None - case _: chisel3.stage.ChiselCircuitAnnotation => None - case a => Some(a) - } - val annoJsonFile = os.pwd / s"${fir.main}.anno.json" - val firFile = os.pwd / s"${fir.main}.fir" - val svFile = os.pwd / s"${fir.main}.sv" - os.write.over(firFile, fir.serialize) - os.write.over( - annoJsonFile, - firrtl.annotations.JsonProtocol.serializeRecover(annos) - ) - if (runFirtool) { - os.proc( - "firtool", - s"--annotation-file=${annoJsonFile}", - s"${firFile}", - s"-o", - s"${svFile}", - "--strip-debug-info", - "--verification-flavor=sva", - "--extract-test-code" - ).call(os.pwd) - } - } -} diff --git a/elaborator/src/rocketv/ALU.scala b/elaborator/src/rocketv/ALU.scala index 05ad596b5..2f81705fa 100644 --- a/elaborator/src/rocketv/ALU.scala +++ b/elaborator/src/rocketv/ALU.scala @@ -2,25 +2,39 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{ALU, ALUParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object ALU extends Elaborator { +object ALU extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = ALU + type P = ALUParameter + type M = ALUParameterMain + @main case class ALUParameterMain( @arg(name = "xLen") xLen: Int) { - def convert: ALUParameter = ALUParameter(xLen) + def convert: P = ALUParameter(xLen) } - implicit def ALUParameterMainParser: ParserForClass[ALUParameterMain] = ParserForClass[ALUParameterMain] + implicit def ALUParameterMainParser: ParserForClass[M] = ParserForClass[M] @main - def config(@arg(name = "parameter") parameter: ALUParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[ALU, ALUParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/AMOALU.scala b/elaborator/src/rocketv/AMOALU.scala index 148936db5..21265f7c0 100644 --- a/elaborator/src/rocketv/AMOALU.scala +++ b/elaborator/src/rocketv/AMOALU.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{AMOALU, AMOALUParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object AMOALU extends Elaborator { +object AMOALU extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = AMOALU + type P = AMOALUParameter + type M = AMOALUParameterMain + @main case class AMOALUParameterMain( @arg(name = "operandBits") operandBits: Int) { @@ -16,11 +26,15 @@ object AMOALU extends Elaborator { implicit def AMOALUParameterMainParser: ParserForClass[AMOALUParameterMain] = ParserForClass[AMOALUParameterMain] @main - def config(@arg(name = "parameter") parameter: AMOALUParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[AMOALU, AMOALUParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/BTB.scala b/elaborator/src/rocketv/BTB.scala index 97118a2ad..4056382d0 100644 --- a/elaborator/src/rocketv/BTB.scala +++ b/elaborator/src/rocketv/BTB.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{BHTParameter, BTB, BTBParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object BTB extends Elaborator { +object BTB extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = BTB + type P = BTBParameter + type M = BTBParameterMain + @main case class BHTParameterMain( @arg(name = "nEntries") nEntries: Int, @@ -67,11 +77,15 @@ object BTB extends Elaborator { implicit def BTBParameterMainParser: ParserForClass[BTBParameterMain] = ParserForClass[BTBParameterMain] @main - def config(@arg(name = "parameter") parameter: BTBParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[BTB, BTBParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/BreakpointUnit.scala b/elaborator/src/rocketv/BreakpointUnit.scala index 445f1a2e7..1165ec657 100644 --- a/elaborator/src/rocketv/BreakpointUnit.scala +++ b/elaborator/src/rocketv/BreakpointUnit.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{BreakpointUnit, BreakpointUnitParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object BreakpointUnit extends Elaborator { +object BreakpointUnit extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = BreakpointUnit + type P = BreakpointUnitParameter + type M = BreakpointUnitParameterMain + @main case class BreakpointUnitParameterMain( @arg(name = "nBreakpoints") nBreakpoints: Int, @@ -23,11 +33,15 @@ object BreakpointUnit extends Elaborator { ParserForClass[BreakpointUnitParameterMain] @main - def config(@arg(name = "parameter") parameter: BreakpointUnitParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[BreakpointUnit, BreakpointUnitParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/CSR.scala b/elaborator/src/rocketv/CSR.scala index c00fe13e2..ced7b4699 100644 --- a/elaborator/src/rocketv/CSR.scala +++ b/elaborator/src/rocketv/CSR.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{CSR, CSRParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object CSR extends Elaborator { +object CSR extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = CSR + type P = CSRParameter + type M = CSRParameterMain + @main case class CSRParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -60,11 +70,15 @@ object CSR extends Elaborator { implicit def CSRParameterMainParser: ParserForClass[CSRParameterMain] = ParserForClass[CSRParameterMain] @main - def config(@arg(name = "parameter") parameter: CSRParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[CSR, CSRParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/DCache.scala b/elaborator/src/rocketv/DCache.scala index 8a6244b89..8a0ab6d69 100644 --- a/elaborator/src/rocketv/DCache.scala +++ b/elaborator/src/rocketv/DCache.scala @@ -2,13 +2,23 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ import org.chipsalliance.rocketv.{HellaCache, HellaCacheParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object DCache extends Elaborator { +object DCache extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = HellaCache + type P = HellaCacheParameter + type M = DCacheParameterMain + implicit object BitSetRead extends TokensReader.Simple[BitSet] { def shortName = "bitset" def read(strs: Seq[String]) = { @@ -96,11 +106,15 @@ object DCache extends Elaborator { implicit def DCacheParameterMainParser: ParserForClass[DCacheParameterMain] = ParserForClass[DCacheParameterMain] @main - def config(@arg(name = "parameter") parameter: DCacheParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[HellaCache, HellaCacheParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/Decoder.scala b/elaborator/src/rocketv/Decoder.scala index 059449e2d..8fab91114 100644 --- a/elaborator/src/rocketv/Decoder.scala +++ b/elaborator/src/rocketv/Decoder.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{Decoder, DecoderParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object Decoder extends Elaborator { +object Decoder extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = Decoder + type P = DecoderParameter + type M = DecoderParameterMain + @main case class DecoderParameterMain( @arg(name = "instructionSets") instructionSets: Set[String], @@ -22,11 +32,15 @@ object Decoder extends Elaborator { implicit def DecoderParameterMainParser: ParserForClass[DecoderParameterMain] = ParserForClass[DecoderParameterMain] @main - def config(@arg(name = "parameter") parameter: DecoderParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[Decoder, DecoderParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/FPToFP.scala b/elaborator/src/rocketv/FPToFP.scala index e29ad7089..267fed13f 100644 --- a/elaborator/src/rocketv/FPToFP.scala +++ b/elaborator/src/rocketv/FPToFP.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{FPToFP, FPToFPParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object FPToFP extends Elaborator { +object FPToFP extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = FPToFP + type P = FPToFPParameter + type M = FPToFPParameterMain + @main case class FPToFPParameterMain( useAsyncReset: Boolean, @@ -26,11 +36,15 @@ object FPToFP extends Elaborator { implicit def FPToFPParameterMainParser: ParserForClass[FPToFPParameterMain] = ParserForClass[FPToFPParameterMain] @main - def config(@arg(name = "parameter") parameter: FPToFPParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[FPToFP, FPToFPParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/FPToInt.scala b/elaborator/src/rocketv/FPToInt.scala index 9cae363de..63676930b 100644 --- a/elaborator/src/rocketv/FPToInt.scala +++ b/elaborator/src/rocketv/FPToInt.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{FPToInt, FPToIntParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object FPToInt extends Elaborator { +object FPToInt extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = FPToInt + type P = FPToIntParameter + type M = FPToIntParameterMain + @main case class FPToIntParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -24,11 +34,15 @@ object FPToInt extends Elaborator { implicit def FPToIntParameterMainParser: ParserForClass[FPToIntParameterMain] = ParserForClass[FPToIntParameterMain] @main - def config(@arg(name = "parameter") parameter: FPToIntParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[FPToInt, FPToIntParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/FPU.scala b/elaborator/src/rocketv/FPU.scala index 720eb4059..e0ed9e0ec 100644 --- a/elaborator/src/rocketv/FPU.scala +++ b/elaborator/src/rocketv/FPU.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{FPU, FPUParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object FPU extends Elaborator { +object FPU extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = FPU + type P = FPUParameter + type M = FPUParameterMain + @main case class FPUParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -34,11 +44,15 @@ object FPU extends Elaborator { implicit def FPUParameterMainParser: ParserForClass[FPUParameterMain] = ParserForClass[FPUParameterMain] @main - def config(@arg(name = "parameter") parameter: FPUParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[FPU, FPUParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/FPUFMAPipe.scala b/elaborator/src/rocketv/FPUFMAPipe.scala index 71fad27de..c65413339 100644 --- a/elaborator/src/rocketv/FPUFMAPipe.scala +++ b/elaborator/src/rocketv/FPUFMAPipe.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{FPUFMAPipe, FPUFMAPipeParameter, FType} -import org.chipsalliance.t1.elaborator.Elaborator -object FPUFMAPipe extends Elaborator { +object FPUFMAPipe extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = FPUFMAPipe + type P = FPUFMAPipeParameter + type M = FPUFMAPipeParameterMain + @main case class FPUFMAPipeParameterMain( useAsyncReset: Boolean, @@ -35,11 +45,15 @@ object FPUFMAPipe extends Elaborator { ParserForClass[FPUFMAPipeParameterMain] @main - def config(@arg(name = "parameter") parameter: FPUFMAPipeParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[FPUFMAPipe, FPUFMAPipeParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/Frontend.scala b/elaborator/src/rocketv/Frontend.scala index d5fb53113..b341bf08d 100644 --- a/elaborator/src/rocketv/Frontend.scala +++ b/elaborator/src/rocketv/Frontend.scala @@ -2,13 +2,23 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ import org.chipsalliance.rocketv.{BHTParameter, Frontend, FrontendParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object Frontend extends Elaborator { +object Frontend extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = Frontend + type P = FrontendParameter + type M = FrontendParameterMain + implicit object BitSetRead extends TokensReader.Simple[BitSet] { def shortName = "bitset" def read(strs: Seq[String]) = { @@ -127,11 +137,15 @@ object Frontend extends Elaborator { ParserForClass[FrontendParameterMain] @main - def config(@arg(name = "parameter") parameter: FrontendParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[Frontend, FrontendParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/IBuf.scala b/elaborator/src/rocketv/IBuf.scala index 26111c4c5..3117b4e54 100644 --- a/elaborator/src/rocketv/IBuf.scala +++ b/elaborator/src/rocketv/IBuf.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{IBuf, IBufParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object IBuf extends Elaborator { +object IBuf extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = IBuf + type P = IBufParameter + type M = IBufParameterMain + @main case class IBufParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -34,11 +44,15 @@ object IBuf extends Elaborator { implicit def IBufParameterMainParser: ParserForClass[IBufParameterMain] = ParserForClass[IBufParameterMain] @main - def config(@arg(name = "parameter") parameter: IBufParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[IBuf, IBufParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/ICache.scala b/elaborator/src/rocketv/ICache.scala index e85757574..2edcc6c8d 100644 --- a/elaborator/src/rocketv/ICache.scala +++ b/elaborator/src/rocketv/ICache.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{ICache, ICacheParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object ICache extends Elaborator { +object ICache extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = ICache + type P = ICacheParameter + type M = ICacheParameterMain + @main case class ICacheParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -32,11 +42,15 @@ object ICache extends Elaborator { implicit def ICacheParameterMainParser: ParserForClass[ICacheParameterMain] = ParserForClass[ICacheParameterMain] @main - def config(@arg(name = "parameter") parameter: ICacheParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[ICache, ICacheParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/IntToFP.scala b/elaborator/src/rocketv/IntToFP.scala index fa64282be..198668411 100644 --- a/elaborator/src/rocketv/IntToFP.scala +++ b/elaborator/src/rocketv/IntToFP.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{IntToFP, IntToFPParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object IntToFP extends Elaborator { +object IntToFP extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = IntToFP + type P = IntToFPParameter + type M = IntToFPParameterMain + @main case class IntToFPParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -26,11 +36,15 @@ object IntToFP extends Elaborator { implicit def IntToFPParameterMainParser: ParserForClass[IntToFPParameterMain] = ParserForClass[IntToFPParameterMain] @main - def config(@arg(name = "parameter") parameter: IntToFPParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[IntToFP, IntToFPParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/MulAddRecFNPipe.scala b/elaborator/src/rocketv/MulAddRecFNPipe.scala index bc8ace996..50c6bd52e 100644 --- a/elaborator/src/rocketv/MulAddRecFNPipe.scala +++ b/elaborator/src/rocketv/MulAddRecFNPipe.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{MulAddRecFNPipe, MulAddRecFNPipeParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object MulAddRecFNPipe extends Elaborator { +object MulAddRecFNPipe extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = MulAddRecFNPipe + type P = MulAddRecFNPipeParameter + type M = MulAddRecFNPipeParameterMain + @main case class MulAddRecFNPipeParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -20,11 +30,15 @@ object MulAddRecFNPipe extends Elaborator { ParserForClass[MulAddRecFNPipeParameterMain] @main - def config(@arg(name = "parameter") parameter: MulAddRecFNPipeParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[MulAddRecFNPipe, MulAddRecFNPipeParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/MulDiv.scala b/elaborator/src/rocketv/MulDiv.scala index c97533591..bc5dd1628 100644 --- a/elaborator/src/rocketv/MulDiv.scala +++ b/elaborator/src/rocketv/MulDiv.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{DecoderParameter, MulDiv, MulDivParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object MulDiv extends Elaborator { +object MulDiv extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = MulDiv + type P = MulDivParameter + type M = MulDivParameterMain + @main case class MulDivParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -41,11 +51,15 @@ object MulDiv extends Elaborator { implicit def MulDivParameterMainParser: ParserForClass[MulDivParameterMain] = ParserForClass[MulDivParameterMain] @main - def config(@arg(name = "parameter") parameter: MulDivParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[MulDiv, MulDivParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/PMAChecker.scala b/elaborator/src/rocketv/PMAChecker.scala index f23d22eaa..8fbd557b3 100644 --- a/elaborator/src/rocketv/PMAChecker.scala +++ b/elaborator/src/rocketv/PMAChecker.scala @@ -2,13 +2,22 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ import org.chipsalliance.rocketv.{PMAChecker, PMACheckerParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object PMAChecker extends Elaborator { +object PMAChecker extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = PMAChecker + type P = PMACheckerParameter + type M = PMACheckerParameterMain implicit object BitSetRead extends TokensReader.Simple[BitSet] { def shortName = "bitset" @@ -67,11 +76,15 @@ object PMAChecker extends Elaborator { ParserForClass[PMACheckerParameterMain] @main - def config(@arg(name = "parameter") parameter: PMACheckerParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[PMAChecker, PMACheckerParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/PMP.scala b/elaborator/src/rocketv/PMP.scala index f7dd45515..f767b58ee 100644 --- a/elaborator/src/rocketv/PMP.scala +++ b/elaborator/src/rocketv/PMP.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{PMPChecker, PMPCheckerParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object PMPChecker extends Elaborator { +object PMPChecker extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = PMPChecker + type P = PMPCheckerParameter + type M = PMPCheckerParameterMain + @main case class PMPCheckerParameterMain( @arg(name = "nPMPs") nPMPs: Int, @@ -25,11 +35,15 @@ object PMPChecker extends Elaborator { ParserForClass[PMPCheckerParameterMain] @main - def config(@arg(name = "parameter") parameter: PMPCheckerParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[PMPChecker, PMPCheckerParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/PTW.scala b/elaborator/src/rocketv/PTW.scala index 7b8cb19d7..e067a04e0 100644 --- a/elaborator/src/rocketv/PTW.scala +++ b/elaborator/src/rocketv/PTW.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{PTW, PTWParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object PTW extends Elaborator { +object PTW extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = PTW + type P = PTWParameter + type M = PTWParameterMain + @main case class PTWParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -42,11 +52,15 @@ object PTW extends Elaborator { implicit def PTWParameterMainParser: ParserForClass[PTWParameterMain] = ParserForClass[PTWParameterMain] @main - def config(@arg(name = "parameter") parameter: PTWParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[PTW, PTWParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/PipelinedMultiplier.scala b/elaborator/src/rocketv/PipelinedMultiplier.scala index 59d06cde3..01f7c94f4 100644 --- a/elaborator/src/rocketv/PipelinedMultiplier.scala +++ b/elaborator/src/rocketv/PipelinedMultiplier.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{PipelinedMultiplier, PipelinedMultiplierParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object PipelinedMultiplier extends Elaborator { +object PipelinedMultiplier extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = PipelinedMultiplier + type P = PipelinedMultiplierParameter + type M = PipelinedMultiplierParameterMain + @main case class PipelinedMultiplierParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -23,11 +33,15 @@ object PipelinedMultiplier extends Elaborator { ParserForClass[PipelinedMultiplierParameterMain] @main - def config(@arg(name = "parameter") parameter: PipelinedMultiplierParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[PipelinedMultiplier, PipelinedMultiplierParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/RVCExpander.scala b/elaborator/src/rocketv/RVCExpander.scala index df6e9ce5d..8d492b887 100644 --- a/elaborator/src/rocketv/RVCExpander.scala +++ b/elaborator/src/rocketv/RVCExpander.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{RVCExpander, RVCExpanderParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object RVCExpander extends Elaborator { +object RVCExpander extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = RVCExpander + type P = RVCExpanderParameter + type M = RVCExpanderParameterMain + @main case class RVCExpanderParameterMain( @arg(name = "xLen") xLen: Int, @@ -21,11 +31,15 @@ object RVCExpander extends Elaborator { ParserForClass[RVCExpanderParameterMain] @main - def config(@arg(name = "parameter") parameter: RVCExpanderParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[RVCExpander, RVCExpanderParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/Rocket.scala b/elaborator/src/rocketv/Rocket.scala index 183e9fe0e..3ed392466 100644 --- a/elaborator/src/rocketv/Rocket.scala +++ b/elaborator/src/rocketv/Rocket.scala @@ -2,11 +2,21 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import mainargs._ import org.chipsalliance.rocketv.{Rocket, RocketParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object Rocket extends Elaborator { +object Rocket extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = Rocket + type P = RocketParameter + type M = RocketParameterMain + @main case class RocketParameterMain( @arg(name = "useAsyncReset") useAsyncReset: Boolean, @@ -70,11 +80,15 @@ object Rocket extends Elaborator { implicit def RocketParameterMainParser: ParserForClass[RocketParameterMain] = ParserForClass[RocketParameterMain] @main - def config(@arg(name = "parameter") parameter: RocketParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[Rocket, RocketParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/RocketTile.scala b/elaborator/src/rocketv/RocketTile.scala index 514eb0388..390c5febe 100644 --- a/elaborator/src/rocketv/RocketTile.scala +++ b/elaborator/src/rocketv/RocketTile.scala @@ -2,15 +2,25 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ import org.chipsalliance.rocketv.{BHTParameter, RocketTile, RocketTileParameter} -import org.chipsalliance.t1.elaborator.Elaborator // --useAsyncReset true --clockGate true --instructionSets rv32_i --priv m --hartIdLen 4 --useBPWatch false --mcontextWidth 0 --scontextWidth 0 --asidBits 0 --resetVectorBits 32 --nBreakpoints 0 --dtlbNWays 0 --dtlbNSets 0 --itlbNSets 0 --itlbNWays 0 --itlbNSectors 0 --itlbNSuperpageEntries 0 --nPTECacheEntries 0 --nL2TLBWays 0 --nL2TLBEntries 0 --paddrBits 32 --cacheBlockBytes 32 --nPMPs 8 --legal 00000000-ffffffff --cacheable 80000000-ffffffff --read 00000000-ffffffff --write 00000000-ffffffff --putPartial 00000000-ffffffff --logic 0 --arithmetic 0 --exec 80000000-ffffffff --sideEffects 00000000-3fffffff --btbEntries 28 --btbNMatchBits 14 --btbUpdatesOutOfOrder false --nPages 6 --nRAS 6 --bhtNEntries 512 --bhtCounterLength 1 --bhtHistoryLength 8 --bhtHistoryBits 3 --mulDivLatency 2 --divUnroll 1 --divEarlyOut false --divEarlyOutGranularity 0 --mulUnroll 1 --mulEarlyOut false --sfmaLatency 3 --dfmaLatency 3 --divSqrt true --flushOnFenceI true --fastLoadByte false --fastLoadWord false --dcacheNSets 64 --dcacheNWays 4 --dcacheRowBits 32 --maxUncachedInFlight 1 --separateUncachedResp false --iCacheNSets 64 --iCacheNWays 4 --iCachePrefetch false -object RocketTile extends Elaborator { +object RocketTile extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = RocketTile + type P = RocketTileParameter + type M = RocketTileParameterMain + implicit object BitSetRead extends TokensReader.Simple[BitSet] { def shortName = "bitset" def read(strs: Seq[String]) = { @@ -181,11 +191,15 @@ object RocketTile extends Elaborator { ParserForClass[RocketTileParameterMain] @main - def config(@arg(name = "parameter") parameter: RocketTileParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[RocketTile, RocketTileParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/rocketv/TLB.scala b/elaborator/src/rocketv/TLB.scala index c7a2e4ef4..14f09d56e 100644 --- a/elaborator/src/rocketv/TLB.scala +++ b/elaborator/src/rocketv/TLB.scala @@ -2,13 +2,23 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.rocketv +import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ import org.chipsalliance.rocketv.{TLB, TLBParameter} -import org.chipsalliance.t1.elaborator.Elaborator -object TLB extends Elaborator { +object TLB extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = TLB + type P = TLBParameter + type M = TLBParameterMain + implicit object BitSetRead extends TokensReader.Simple[BitSet] { def shortName = "bitset" def read(strs: Seq[String]) = { @@ -103,11 +113,15 @@ object TLB extends Elaborator { implicit def TLBParameterMainParser: ParserForClass[TLBParameterMain] = ParserForClass[TLBParameterMain] @main - def config(@arg(name = "parameter") parameter: TLBParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[TLB, TLBParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } diff --git a/elaborator/src/t1rocket/T1RocketTile.scala b/elaborator/src/t1rocket/T1RocketTile.scala index c618fd7f2..bb96a3a0a 100644 --- a/elaborator/src/t1rocket/T1RocketTile.scala +++ b/elaborator/src/t1rocket/T1RocketTile.scala @@ -2,6 +2,7 @@ // SPDX-FileCopyrightText: 2024 Jiuyang Liu package org.chipsalliance.t1.elaborator.t1rocketv +import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ @@ -11,7 +12,17 @@ import org.chipsalliance.t1.rtl.vrf.RamType.{p0rp1w, p0rw, p0rwp1rw} import org.chipsalliance.t1.tile.{T1RocketTile, T1RocketTileParameter} // --instructionSets rv32_i --instructionSets rv_a --instructionSets rv_c --instructionSets rv_v --instructionSets Zve32x --instructionSets zvl1024b --cacheBlockBytes 32 --nPMPs 8 --cacheable 80000000-ffffffff --sideEffects 00000000-1fffffff --dcacheNSets 64 --dcacheNWays 4 --dcacheRowBits 32 --iCacheNSets 32 --iCacheNWays 4 --iCachePrefetch false --dLen 256 --vrfBankSize 2 --vrfRamType p0rp1w -object T1RocketTile extends Elaborator { +object T1RocketTile extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = T1RocketTile + type P = T1RocketTileParameter + type M = T1RocketTileParameterMain + implicit object BitSetRead extends TokensReader.Simple[BitSet] { def shortName = "bitset" def read(strs: Seq[String]) = { @@ -91,11 +102,15 @@ object T1RocketTile extends Elaborator { ParserForClass[T1RocketTileParameterMain] @main - def config(@arg(name = "parameter") parameter: T1RocketTileParameterMain) = configImpl(parameter.convert) + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) @main - def design(@arg(name = "parameter") parameter: os.Path, @arg(name = "run-firtool") runFirtool: mainargs.Flag) = - designImpl[T1RocketTile, T1RocketTileParameter](parameter, runFirtool.value) + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) } From a10d50d4c2d9a94b564473850ba51ee853ca39f0 Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Fri, 11 Oct 2024 21:45:31 +0800 Subject: [PATCH 04/23] [config] refactor T1 configs - use extensions to set vLen - add and use generic VFUInstantiateParameter - RocketTile now accept VFUInstantiateParameter --- elaborator/src/t1rocket/T1RocketTile.scala | 56 ++- t1/src/T1.scala | 65 +++- t1/src/VectorFunctionUnit.scala | 427 +++++++++++++++++++++ t1rocket/src/T1RocketTile.scala | 145 ++----- 4 files changed, 536 insertions(+), 157 deletions(-) diff --git a/elaborator/src/t1rocket/T1RocketTile.scala b/elaborator/src/t1rocket/T1RocketTile.scala index bb96a3a0a..543351981 100644 --- a/elaborator/src/t1rocket/T1RocketTile.scala +++ b/elaborator/src/t1rocket/T1RocketTile.scala @@ -6,7 +6,7 @@ import chisel3.experimental.util.SerializableModuleElaborator import chisel3.util.BitPat import chisel3.util.experimental.BitSet import mainargs._ -import org.chipsalliance.t1.elaborator.Elaborator +import org.chipsalliance.t1.rtl.VFUInstantiateParameter import org.chipsalliance.t1.rtl.vrf.RamType import org.chipsalliance.t1.rtl.vrf.RamType.{p0rp1w, p0rw, p0rwp1rw} import org.chipsalliance.t1.tile.{T1RocketTile, T1RocketTileParameter} @@ -79,23 +79,43 @@ object T1RocketTile extends SerializableModuleElaborator { @arg(name = "iCachePrefetch") iCachePrefetch: Boolean, @arg(name = "dLen") dLen: Int, @arg(name = "vrfBankSize") vrfBankSize: Int, - @arg(name = "vrfRamType") vrfRamType: RamType) { - def convert: T1RocketTileParameter = T1RocketTileParameter( - instructionSets: Seq[String], - cacheBlockBytes: Int, - nPMPs: Int, - cacheable: BitSet, - sideEffects: BitSet, - dcacheNSets: Int, - dcacheNWays: Int, - dcacheRowBits: Int, - iCacheNSets: Int, - iCacheNWays: Int, - iCachePrefetch: Boolean, - dLen: Int, - vrfBankSize: Int, - vrfRamType: RamType - ) + @arg(name = "vrfRamType") vrfRamType: RamType, + @arg(name = "vfuInstantiateParameter") vfuInstantiateParameter: String) { + def convert: T1RocketTileParameter = { + val vLen = instructionSets.collectFirst { case s"zvl${vlen}b" => + vlen.toInt + }.get + val fp = instructionSets.contains("zve32f") + val zvbb = instructionSets.contains("zvbb") + if (zvbb) require(vfuInstantiateParameter == "zvbb") + T1RocketTileParameter( + instructionSets: Seq[String], + cacheBlockBytes: Int, + nPMPs: Int, + cacheable: BitSet, + sideEffects: BitSet, + dcacheNSets: Int, + dcacheNWays: Int, + dcacheRowBits: Int, + iCacheNSets: Int, + iCacheNWays: Int, + iCachePrefetch: Boolean, + dLen: Int, + vrfBankSize: Int, + vrfRamType: RamType, + vfuInstantiateParameter match { + case "minimal" => + if (fp) VFUInstantiateParameter.minimalFP(vLen, dLen) else VFUInstantiateParameter.minimalInt(vLen, dLen) + case "small" => + if (fp) VFUInstantiateParameter.smallFP(vLen, dLen) else VFUInstantiateParameter.smallInt(vLen, dLen) + case "medium" => + if (fp) VFUInstantiateParameter.mediumFP(vLen, dLen) else VFUInstantiateParameter.smallInt(vLen, dLen) + case "large" => + if (fp) VFUInstantiateParameter.largeFP(vLen, dLen) else VFUInstantiateParameter.smallInt(vLen, dLen) + case "zvbb" => VFUInstantiateParameter.zvbb(vLen, dLen) + } + ) + } } implicit def T1RocketTileParameterMainParser: ParserForClass[T1RocketTileParameterMain] = diff --git a/t1/src/T1.scala b/t1/src/T1.scala index a7ab45e5b..8113097ae 100644 --- a/t1/src/T1.scala +++ b/t1/src/T1.scala @@ -4,20 +4,36 @@ package org.chipsalliance.t1.rtl import chisel3._ -import chisel3.experimental.hierarchy.{instantiable, public, Definition, Instance, Instantiate} +import chisel3.experimental.hierarchy.{instantiable, public, Instance, Instantiate} import chisel3.experimental.{SerializableModule, SerializableModuleParameter} import chisel3.probe.{define, Probe, ProbeValue} import chisel3.properties.{AnyClassType, Class, ClassType, Property} -import chisel3.util.{Decoupled, _} import chisel3.util.experimental.BitSet +import chisel3.util.experimental.decode.DecodeBundle +import chisel3.util.{ + log2Ceil, + scanLeftOr, + scanRightOr, + Decoupled, + DecoupledIO, + Enum, + Fill, + FillInterleaved, + Mux1H, + OHToUInt, + Pipe, + RegEnable, + UIntToOH, + Valid, + ValidIO +} +import org.chipsalliance.amba.axi4.bundle.{AXI4BundleParameter, AXI4RWIrrevocable} import org.chipsalliance.rvdecoderdb.Instruction import org.chipsalliance.t1.rtl.decoder.{Decoder, DecoderParam, T1CustomInstruction} -import chisel3.util.experimental.decode._ -import org.chipsalliance.amba.axi4.bundle.{AXI4BundleParameter, AXI4RWIrrevocable} import org.chipsalliance.t1.rtl.lsu.{LSU, LSUParameter, LSUProbe} -import org.chipsalliance.t1.rtl.vrf.{RamType, VRFParam, VRFProbe} +import org.chipsalliance.t1.rtl.vrf.{RamType, VRFParam} -import scala.collection.immutable.{ListMap, SeqMap} +import scala.collection.immutable.SeqMap // TODO: this should be a object model. There should 3 object model here: // 1. T1SubsystemOM(T1(OM), MemoryRegion, Cache configuration) @@ -99,10 +115,8 @@ object T1Parameter { * lane size. TODO: sort a machine-readable chaining matrix for test case generation. */ case class T1Parameter( - vLen: Int, dLen: Int, extensions: Seq[String], - t1customInstructions: Seq[T1CustomInstruction], // Lane vrfBankSize: Int, vrfRamType: RamType, @@ -111,17 +125,22 @@ case class T1Parameter( extends SerializableModuleParameter { // TODO: expose it with the Property API override def toString: String = - s"""T1-VLEN$vLen-DLEN$dLen-${extensions.mkString(",")}(${dLen / 32} lanes) - |Lane: - | VRF: - | Banks: ${vrfBankSize} - | RAMType: ${vrfRamType match { + s"""T1-${extensions.mkString(",")} + |${dLen / 32} Lanes + |VRF Banks: ${vrfBankSize} + |VRFRAMType: ${vrfRamType match { case RamType.p0rw => "Single Port." case RamType.p0rp1w => "First Port Read, Second Port Write." case RamType.p0rwp1rw => "Dual Ports Read Write." }} |""".stripMargin + def t1customInstructions: Seq[T1CustomInstruction] = Nil + + def vLen: Int = extensions.collectFirst { case s"zvl${vlen}b" => + vlen.toInt + }.get + val allInstructions: Seq[Instruction] = { org.chipsalliance.rvdecoderdb .instructions(org.chipsalliance.rvdecoderdb.extractResource(getClass.getClassLoader)) @@ -133,14 +152,20 @@ case class T1Parameter( } } ++ t1customInstructions.map(_.instruction) - }.toSeq.sortBy(_.instructionSet.name).filter { insn => + }.toSeq.filter { insn => insn.name match { case s if Seq("vsetivli", "vsetvli", "vsetvl").contains(s) => false case _ => true } - } - - require(extensions.forall(Seq("Zve32x", "Zve32f", "Zvbb").contains), "unsupported extension.") + }.sortBy(_.instructionSet.name) + + require( + extensions.forall( + (Seq("zve32x", "zve32f", "zvbb") ++ + Seq(128, 256, 512, 1024, 2048, 4096, 8192, 16384, 32768, 65536).map(vlen => s"zvl${vlen}b")).contains + ), + "unsupported extension." + ) // TODO: require bank not overlap /** xLen of T1, we currently only support 32. */ val xLen: Int = 32 @@ -155,10 +180,10 @@ case class T1Parameter( val vrfWriteQueueSize: Int = 4 /** does t1 has floating datapath? */ - val fpuEnable: Boolean = extensions.contains("Zve32f") + val fpuEnable: Boolean = extensions.contains("zve32f") /** support of zvbb */ - lazy val zvbbEnable: Boolean = extensions.contains("Zvbb") + lazy val zvbbEnable: Boolean = extensions.contains("zvbb") /** how many chaining does T1 support, this is not a parameter yet. */ val chainingSize: Int = 4 @@ -361,7 +386,7 @@ class T1(val parameter: T1Parameter) omInstance.vlenIn := Property(parameter.vLen) omInstance.dlenIn := Property(parameter.dLen) omInstance.extensionsIn := Property(parameter.extensions) - omInstance.marchIn := Property(s"rv32gc_${parameter.extensions.mkString("_").toLowerCase}_zvl${parameter.vLen}b") + omInstance.marchIn := Property(s"rv32gc_${parameter.extensions.mkString("_").toLowerCase}") /** the LSU Module */ diff --git a/t1/src/VectorFunctionUnit.scala b/t1/src/VectorFunctionUnit.scala index e8fdf7a27..b9ad56cb1 100644 --- a/t1/src/VectorFunctionUnit.scala +++ b/t1/src/VectorFunctionUnit.scala @@ -94,6 +94,433 @@ abstract class VFUModule(p: VFUParameter) extends Module { object VFUInstantiateParameter { implicit def rw: upickle.default.ReadWriter[VFUInstantiateParameter] = upickle.default.macroRW + + // generics templates + def parse(vLen: Int, dLen: Int, preset: String, fp: Boolean, zvbb: Boolean) = preset match { + case "minimal" => + (fp, zvbb) match { + case (false, false) => VFUInstantiateParameter.minimalInt(vLen, dLen) + case (true, false) => VFUInstantiateParameter.minimalFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + } + case "small" => + (fp, zvbb) match { + case (false, false) => VFUInstantiateParameter.smallInt(vLen, dLen) + case (true, false) => VFUInstantiateParameter.smallFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + } + case "medium" => + (fp, zvbb) match { + case (false, false) => VFUInstantiateParameter.smallInt(vLen, dLen) + case (true, false) => VFUInstantiateParameter.mediumFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + } + case "large" => + (fp, zvbb) match { + case (false, false) => VFUInstantiateParameter.smallInt(vLen, dLen) + case (true, false) => VFUInstantiateParameter.largeFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + } + } + + // instantiate each module and connect to all scoreboards + def minimalFP(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0, 1, 2, 3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq(), + divfpModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0, 1, 2, 3) + ) + ), + floatModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), + zvbbModuleParameters = Seq() + ) + + // standalone ALU for all scoreboards + def smallFP(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq(), + divfpModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0, 1, 2, 3) + ) + ), + floatModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), + zvbbModuleParameters = Seq() + ) + + // standalone VFU(except MUL and DIV) for all scoreboards + def mediumFP(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq(), + divfpModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(1) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(2) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(3) + ) + ), + floatModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), + zvbbModuleParameters = Seq() + ) + + // standalone VFU(except DIV) for all scoreboards + def largeFP(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq(), + divfpModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(1) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(2) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(3) + ) + ), + floatModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(3)) + ), + zvbbModuleParameters = Seq() + ) + + // standalone VFU for all scoreboards + def hugeFP(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq(), + divfpModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(1) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(2) + ), + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(3) + ) + ), + floatModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(3)) + ), + zvbbModuleParameters = Seq() + ) + + def minimalInt(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0, 1, 2, 3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneDiv], LaneDivParam(32, 1)), Seq(0, 1, 2, 3)) + ), + divfpModuleParameters = Seq(), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0, 1, 2, 3) + ) + ), + floatModuleParameters = Seq(), + zvbbModuleParameters = Seq() // TODO + ) + + def smallInt(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneDiv], LaneDivParam(32, 1)), Seq(0, 1, 2, 3)) + ), + divfpModuleParameters = Seq(), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0, 1, 2, 3) + ) + ), + floatModuleParameters = Seq(), + zvbbModuleParameters = Seq() // TODO + ) + + // experimental + def zvbb(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneDiv], LaneDivParam(32, 1)), Seq(0, 1, 2, 3)) + ), + divfpModuleParameters = Seq(), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0, 1, 2, 3) + ) + ), + floatModuleParameters = Seq(), + zvbbModuleParameters = Seq((SerializableModuleGenerator(classOf[LaneZvbb], LaneZvbbParam(32, 3)), Seq(0, 1, 2, 3))) + ) + + def zvbbFP(vLen: Int, dLen: Int) = VFUInstantiateParameter( + slotCount = 4, + logicModuleParameters = Seq( + (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) + ), + aluModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), + (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) + ), + shifterModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) + ), + mulModuleParameters = Seq( + (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) + ), + divModuleParameters = Seq(), + divfpModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), + otherModuleParameters = Seq( + ( + SerializableModuleGenerator( + classOf[OtherUnit], + OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) + ), + Seq(0, 1, 2, 3) + ) + ), + floatModuleParameters = + Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), + zvbbModuleParameters = Seq((SerializableModuleGenerator(classOf[LaneZvbb], LaneZvbbParam(32, 3)), Seq(0, 1, 2, 3))) + ) } case class VFUInstantiateParameter( diff --git a/t1rocket/src/T1RocketTile.scala b/t1rocket/src/T1RocketTile.scala index 8901f28d4..c0b90ccac 100644 --- a/t1rocket/src/T1RocketTile.scala +++ b/t1rocket/src/T1RocketTile.scala @@ -4,10 +4,10 @@ package org.chipsalliance.t1.tile import chisel3._ import chisel3.experimental.hierarchy.{Instance, Instantiate} -import chisel3.experimental.{SerializableModule, SerializableModuleGenerator, SerializableModuleParameter} +import chisel3.experimental.{SerializableModule, SerializableModuleParameter} +import chisel3.probe.{define, Probe, ProbeValue} import chisel3.util.experimental.BitSet import chisel3.util.log2Ceil -import chisel3.probe.{define, Probe, ProbeValue} import org.chipsalliance.amba.axi4.bundle.{AXI4BundleParameter, AXI4ROIrrevocable, AXI4RWIrrevocable} import org.chipsalliance.rocketv.{ BHTParameter, @@ -24,38 +24,12 @@ import org.chipsalliance.rocketv.{ PTWParameter, Rocket, RocketParameter, - RocketProbe, - RocketTileParameter + RocketProbe } import org.chipsalliance.rvdecoderdb.Instruction -import org.chipsalliance.t1.rtl.decoder.T1CustomInstruction import org.chipsalliance.t1.rtl.vrf.RamType import org.chipsalliance.t1.rtl.vrf.RamType.{p0rp1w, p0rw, p0rwp1rw} -import org.chipsalliance.t1.rtl.lsu.LSUProbe -import org.chipsalliance.t1.rtl.vrf.VRFProbe -import org.chipsalliance.t1.rtl.{ - LaneAdder, - LaneAdderParam, - LaneDiv, - LaneDivFP, - LaneDivFPParam, - LaneDivParam, - LaneFloat, - LaneFloatParam, - LaneMul, - LaneMulParam, - LaneProbe, - LaneShifter, - LaneShifterParameter, - LogicParam, - MaskedLogic, - OtherUnit, - OtherUnitParam, - T1, - T1Parameter, - T1Probe, - VFUInstantiateParameter -} +import org.chipsalliance.t1.rtl.{T1, T1Parameter, T1Probe, VFUInstantiateParameter} object T1RocketTileParameter { implicit def bitSetP: upickle.default.ReadWriter[BitSet] = upickle.default @@ -75,22 +49,23 @@ object T1RocketTileParameter { } case class T1RocketTileParameter( - instructionSets: Seq[String], - cacheBlockBytes: Int, - nPMPs: Int, - cacheable: BitSet, - sideEffects: BitSet, - dcacheNSets: Int, - dcacheNWays: Int, - dcacheRowBits: Int, - iCacheNSets: Int, - iCacheNWays: Int, - iCachePrefetch: Boolean, - dLen: Int, - vrfBankSize: Int, - vrfRamType: RamType) + instructionSets: Seq[String], + cacheBlockBytes: Int, + nPMPs: Int, + cacheable: BitSet, + sideEffects: BitSet, + dcacheNSets: Int, + dcacheNWays: Int, + dcacheRowBits: Int, + iCacheNSets: Int, + iCacheNWays: Int, + iCachePrefetch: Boolean, + dLen: Int, + vrfBankSize: Int, + vrfRamType: RamType, + vfuInstantiateParameter: VFUInstantiateParameter) extends SerializableModuleParameter { - require(instructionSets.count(Seq("Zve32x", "Zve32f").contains) == 1, "at least support one Zve32x or Zve32f") + require(instructionSets.count(Seq("zve32x", "zve32f").contains) == 1, "at least support one Zve32x or Zve32f") val useAsyncReset: Boolean = false val clockGate: Boolean = false @@ -375,82 +350,14 @@ case class T1RocketTileParameter( ) } - val vfuInstantiateParameter = - if (instructionSets.contains("Zve32f")) - VFUInstantiateParameter( - slotCount = 4, - logicModuleParameters = Seq( - (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) - ), - aluModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) - ), - shifterModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) - ), - mulModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) - ), - divModuleParameters = Seq(), - divfpModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneDivFP], LaneDivFPParam(32, 1)), Seq(0, 1, 2, 3))), - otherModuleParameters = Seq( - ( - SerializableModuleGenerator( - classOf[OtherUnit], - OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) - ), - Seq(0, 1, 2, 3) - ) - ), - floatModuleParameters = - Seq((SerializableModuleGenerator(classOf[LaneFloat], LaneFloatParam(32, 3)), Seq(0, 1, 2, 3))), - zvbbModuleParameters = Seq() - ) - else - VFUInstantiateParameter( - slotCount = 4, - logicModuleParameters = Seq( - (SerializableModuleGenerator(classOf[MaskedLogic], LogicParam(32, 1)), Seq(0, 1, 2, 3)) - ), - aluModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(0)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(1)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(2)), - (SerializableModuleGenerator(classOf[LaneAdder], LaneAdderParam(32, 1)), Seq(3)) - ), - shifterModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneShifter], LaneShifterParameter(32, 1)), Seq(0, 1, 2, 3)) - ), - mulModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneMul], LaneMulParam(32, 2)), Seq(0, 1, 2, 3)) - ), - divModuleParameters = Seq( - (SerializableModuleGenerator(classOf[LaneDiv], LaneDivParam(32, 1)), Seq(0, 1, 2, 3)) - ), - divfpModuleParameters = Seq(), - otherModuleParameters = Seq( - ( - SerializableModuleGenerator( - classOf[OtherUnit], - OtherUnitParam(32, log2Ceil(vLen) + 1, log2Ceil(vLen * 8 / dLen), log2Ceil(dLen / 32), 4, 1) - ), - Seq(0, 1, 2, 3) - ) - ), - floatModuleParameters = Seq(), - zvbbModuleParameters = Seq() - ) - def t1Parameter: T1Parameter = T1Parameter( - vLen = vLen, dLen = dLen, - extensions = instructionSets.filter(Seq("Zve32x", "Zve32f").contains), - // empty for now. - t1customInstructions = Seq(), + extensions = instructionSets.filter { + case i if i.startsWith("zve") => true + case i if i.startsWith("zvl") => true + case i if i == "zvbb" => true + case _ => false + }, vrfBankSize = vrfBankSize, vrfRamType = vrfRamType, vfuInstantiateParameter = vfuInstantiateParameter From 028cafb98758f40db36d6f916a5a0dd841b934a9 Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Fri, 11 Oct 2024 21:46:03 +0800 Subject: [PATCH 05/23] [build system] Add elaborator for T1, T1EMU, T1RocketEmu --- elaborator/src/t1/T1.scala | 73 ++++++++++++ elaborator/src/t1emu/Testbench.scala | 74 ++++++++++++ elaborator/src/t1rocket/T1RocketTile.scala | 14 +-- elaborator/src/t1rocketemu/Testbench.scala | 127 +++++++++++++++++++++ t1/src/VectorFunctionUnit.scala | 24 ++-- 5 files changed, 288 insertions(+), 24 deletions(-) create mode 100644 elaborator/src/t1/T1.scala create mode 100644 elaborator/src/t1emu/Testbench.scala create mode 100644 elaborator/src/t1rocketemu/Testbench.scala diff --git a/elaborator/src/t1/T1.scala b/elaborator/src/t1/T1.scala new file mode 100644 index 000000000..dad8f2633 --- /dev/null +++ b/elaborator/src/t1/T1.scala @@ -0,0 +1,73 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2024 Jiuyang Liu +package org.chipsalliance.t1.elaborator.t1 + +import chisel3.experimental.util.SerializableModuleElaborator +import mainargs._ +import org.chipsalliance.t1.rtl.vrf.RamType +import org.chipsalliance.t1.rtl.vrf.RamType.{p0rp1w, p0rw, p0rwp1rw} +import org.chipsalliance.t1.rtl.{T1, T1Parameter, VFUInstantiateParameter} + +object T1 extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = T1 + type P = T1Parameter + type M = T1ParameterMain + + implicit object RamTypeRead extends TokensReader.Simple[RamType] { + def shortName = "ramtype" + def read(strs: Seq[String]) = { + Right( + strs.head match { + case "p0rw" => p0rw + case "p0rp1w" => p0rp1w + case "p0rwp1rw" => p0rwp1rw + } + ) + } + } + + @main + case class T1ParameterMain( + @arg(name = "dLen") dLen: Int, + @arg(name = "extensions") extensions: Seq[String], + @arg(name = "vrfBankSize") vrfBankSize: Int, + @arg(name = "vrfRamType") vrfRamType: RamType, + @arg(name = "vfuInstantiateParameter") vfuInstantiateParameter: String) { + def convert: P = { + val fp = extensions.contains("zve32f") + val zvbb = extensions.contains("zvbb") + def vLen: Int = extensions.collectFirst { case s"zvl${vlen}b" => + vlen.toInt + }.get + + T1Parameter( + dLen, + extensions, + vrfBankSize, + vrfRamType, + VFUInstantiateParameter.parse(vLen = vLen, dLen = dLen, preset = vfuInstantiateParameter, fp = fp, zvbb = zvbb) + ) + } + } + + implicit def T1ParameterMainParser: ParserForClass[M] = ParserForClass[M] + + @main + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) + + @main + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } + + def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) +} diff --git a/elaborator/src/t1emu/Testbench.scala b/elaborator/src/t1emu/Testbench.scala new file mode 100644 index 000000000..8ef0cf01f --- /dev/null +++ b/elaborator/src/t1emu/Testbench.scala @@ -0,0 +1,74 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2024 Jiuyang Liu +package org.chipsalliance.t1.elaborator.t1emu + +import chisel3.experimental.util.SerializableModuleElaborator +import mainargs._ +import org.chipsalliance.t1.rtl.vrf.RamType +import org.chipsalliance.t1.rtl.vrf.RamType.{p0rp1w, p0rw, p0rwp1rw} +import org.chipsalliance.t1.rtl.{T1Parameter, VFUInstantiateParameter} +import org.chipsalliance.t1.t1emu.TestBench + +object Testbench extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = TestBench + type P = T1Parameter + type M = T1ParameterMain + + implicit object RamTypeRead extends TokensReader.Simple[RamType] { + def shortName = "ramtype" + def read(strs: Seq[String]) = { + Right( + strs.head match { + case "p0rw" => p0rw + case "p0rp1w" => p0rp1w + case "p0rwp1rw" => p0rwp1rw + } + ) + } + } + + @main + case class T1ParameterMain( + @arg(name = "dLen") dLen: Int, + @arg(name = "extensions") extensions: Seq[String], + @arg(name = "vrfBankSize") vrfBankSize: Int, + @arg(name = "vrfRamType") vrfRamType: RamType, + @arg(name = "vfuInstantiateParameter") vfuInstantiateParameter: String) { + def convert: P = { + val fp = extensions.contains("zve32f") + val zvbb = extensions.contains("zvbb") + def vLen: Int = extensions.collectFirst { case s"zvl${vlen}b" => + vlen.toInt + }.get + + T1Parameter( + dLen, + extensions, + vrfBankSize, + vrfRamType, + VFUInstantiateParameter.parse(vLen = vLen, dLen = dLen, preset = vfuInstantiateParameter, fp = fp, zvbb = zvbb) + ) + } + } + + implicit def T1ParameterMainParser: ParserForClass[M] = ParserForClass[M] + + @main + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) + + @main + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } + + def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) +} diff --git a/elaborator/src/t1rocket/T1RocketTile.scala b/elaborator/src/t1rocket/T1RocketTile.scala index 543351981..fb7032dcc 100644 --- a/elaborator/src/t1rocket/T1RocketTile.scala +++ b/elaborator/src/t1rocket/T1RocketTile.scala @@ -87,7 +87,7 @@ object T1RocketTile extends SerializableModuleElaborator { }.get val fp = instructionSets.contains("zve32f") val zvbb = instructionSets.contains("zvbb") - if (zvbb) require(vfuInstantiateParameter == "zvbb") + T1RocketTileParameter( instructionSets: Seq[String], cacheBlockBytes: Int, @@ -103,17 +103,7 @@ object T1RocketTile extends SerializableModuleElaborator { dLen: Int, vrfBankSize: Int, vrfRamType: RamType, - vfuInstantiateParameter match { - case "minimal" => - if (fp) VFUInstantiateParameter.minimalFP(vLen, dLen) else VFUInstantiateParameter.minimalInt(vLen, dLen) - case "small" => - if (fp) VFUInstantiateParameter.smallFP(vLen, dLen) else VFUInstantiateParameter.smallInt(vLen, dLen) - case "medium" => - if (fp) VFUInstantiateParameter.mediumFP(vLen, dLen) else VFUInstantiateParameter.smallInt(vLen, dLen) - case "large" => - if (fp) VFUInstantiateParameter.largeFP(vLen, dLen) else VFUInstantiateParameter.smallInt(vLen, dLen) - case "zvbb" => VFUInstantiateParameter.zvbb(vLen, dLen) - } + VFUInstantiateParameter.parse(vLen = vLen, dLen = dLen, preset = vfuInstantiateParameter, fp = fp, zvbb = zvbb) ) } } diff --git a/elaborator/src/t1rocketemu/Testbench.scala b/elaborator/src/t1rocketemu/Testbench.scala new file mode 100644 index 000000000..45371867c --- /dev/null +++ b/elaborator/src/t1rocketemu/Testbench.scala @@ -0,0 +1,127 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2024 Jiuyang Liu +package org.chipsalliance.t1.elaborator.t1rocketemu + +import chisel3.experimental.util.SerializableModuleElaborator +import chisel3.util.BitPat +import chisel3.util.experimental.BitSet +import mainargs._ +import org.chipsalliance.t1.rtl.VFUInstantiateParameter +import org.chipsalliance.t1.rtl.vrf.RamType +import org.chipsalliance.t1.rtl.vrf.RamType.{p0rp1w, p0rw, p0rwp1rw} +import org.chipsalliance.t1.t1rocketemu.TestBench +import org.chipsalliance.t1.tile.T1RocketTileParameter + +// --instructionSets rv32_i --instructionSets rv_a --instructionSets rv_c --instructionSets rv_v --instructionSets Zve32x --instructionSets zvl1024b --cacheBlockBytes 32 --nPMPs 8 --cacheable 80000000-ffffffff --sideEffects 00000000-1fffffff --dcacheNSets 64 --dcacheNWays 4 --dcacheRowBits 32 --iCacheNSets 32 --iCacheNWays 4 --iCachePrefetch false --dLen 256 --vrfBankSize 2 --vrfRamType p0rp1w +object T1RocketTile extends SerializableModuleElaborator { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]) = Right(os.Path(strs.head, os.pwd)) + } + + val className: String = getClass.getSimpleName.replace("$", "") + type D = TestBench + type P = T1RocketTileParameter + type M = T1RocketTileParameterMain + + implicit object BitSetRead extends TokensReader.Simple[BitSet] { + def shortName = "bitset" + def read(strs: Seq[String]) = { + Right( + strs.head + .split(",") + .map { opt => + if (opt.contains("-")) { + val range = opt.split("-") + require(range.size == 2) + val from = BigInt(range.head, 16) + val to = BigInt(range.last, 16) + 1 + BitSet.fromRange(from, to - from, range.head.length * 4) + } else if (opt.contains("+")) { + val range = opt.split("\\+") + require(range.size == 2) + val from = BigInt(range.head, 16) + val length = BigInt(range.last, 16) + BitSet.fromRange(from, length, range.head.length * 4) + } else { + BitPat(s"b$opt") + } + } + .reduce(_.union(_)) + ) + } + } + + implicit object RamTypeRead extends TokensReader.Simple[RamType] { + def shortName = "ramtype" + def read(strs: Seq[String]) = { + Right( + strs.head match { + case "p0rw" => p0rw + case "p0rp1w" => p0rp1w + case "p0rwp1rw" => p0rwp1rw + } + ) + } + } + + @main + case class T1RocketTileParameterMain( + @arg(name = "instructionSets") instructionSets: Seq[String], + @arg(name = "cacheBlockBytes") cacheBlockBytes: Int, + @arg(name = "nPMPs") nPMPs: Int, + @arg(name = "cacheable") cacheable: BitSet, + @arg(name = "sideEffects") sideEffects: BitSet, + @arg(name = "dcacheNSets") dcacheNSets: Int, + @arg(name = "dcacheNWays") dcacheNWays: Int, + @arg(name = "dcacheRowBits") dcacheRowBits: Int, + @arg(name = "iCacheNSets") iCacheNSets: Int, + @arg(name = "iCacheNWays") iCacheNWays: Int, + @arg(name = "iCachePrefetch") iCachePrefetch: Boolean, + @arg(name = "dLen") dLen: Int, + @arg(name = "vrfBankSize") vrfBankSize: Int, + @arg(name = "vrfRamType") vrfRamType: RamType, + @arg(name = "vfuInstantiateParameter") vfuInstantiateParameter: String) { + def convert: T1RocketTileParameter = { + val vLen = instructionSets.collectFirst { case s"zvl${vlen}b" => + vlen.toInt + }.get + val fp = instructionSets.contains("zve32f") + val zvbb = instructionSets.contains("zvbb") + + T1RocketTileParameter( + instructionSets: Seq[String], + cacheBlockBytes: Int, + nPMPs: Int, + cacheable: BitSet, + sideEffects: BitSet, + dcacheNSets: Int, + dcacheNWays: Int, + dcacheRowBits: Int, + iCacheNSets: Int, + iCacheNWays: Int, + iCachePrefetch: Boolean, + dLen: Int, + vrfBankSize: Int, + vrfRamType: RamType, + VFUInstantiateParameter.parse(vLen = vLen, dLen = dLen, preset = vfuInstantiateParameter, fp = fp, zvbb = zvbb) + ) + } + } + + implicit def T1RocketTileParameterMainParser: ParserForClass[T1RocketTileParameterMain] = + ParserForClass[T1RocketTileParameterMain] + + @main + def config(@arg(name = "parameter") parameter: M) = + os.write.over(os.pwd / s"${className}.json", configImpl(parameter.convert)) + + @main + def design(@arg(name = "parameter") parameter: os.Path) = { + val (firrtl, annos) = designImpl[D, P](os.read.stream(parameter)) + os.write.over(os.pwd / s"$className.fir", firrtl) + os.write.over(os.pwd / s"$className.json", annos) + } + + def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) +} diff --git a/t1/src/VectorFunctionUnit.scala b/t1/src/VectorFunctionUnit.scala index b9ad56cb1..5d4581332 100644 --- a/t1/src/VectorFunctionUnit.scala +++ b/t1/src/VectorFunctionUnit.scala @@ -100,30 +100,30 @@ object VFUInstantiateParameter { case "minimal" => (fp, zvbb) match { case (false, false) => VFUInstantiateParameter.minimalInt(vLen, dLen) - case (true, false) => VFUInstantiateParameter.minimalFP(vLen, dLen) - case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) - case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + case (true, false) => VFUInstantiateParameter.minimalFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) } case "small" => (fp, zvbb) match { case (false, false) => VFUInstantiateParameter.smallInt(vLen, dLen) - case (true, false) => VFUInstantiateParameter.smallFP(vLen, dLen) - case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) - case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + case (true, false) => VFUInstantiateParameter.smallFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) } case "medium" => (fp, zvbb) match { case (false, false) => VFUInstantiateParameter.smallInt(vLen, dLen) - case (true, false) => VFUInstantiateParameter.mediumFP(vLen, dLen) - case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) - case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + case (true, false) => VFUInstantiateParameter.mediumFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) } case "large" => (fp, zvbb) match { case (false, false) => VFUInstantiateParameter.smallInt(vLen, dLen) - case (true, false) => VFUInstantiateParameter.largeFP(vLen, dLen) - case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) - case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) + case (true, false) => VFUInstantiateParameter.largeFP(vLen, dLen) + case (false, true) => VFUInstantiateParameter.zvbb(vLen, dLen) + case (true, true) => VFUInstantiateParameter.zvbbFP(vLen, dLen) } } From 5bb3dac9e2fd4a0603f6623c23b15ac5500584a5 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 15:06:22 +0800 Subject: [PATCH 06/23] [config] add all configs via toml --- configgen/generated/blastoise.json | 174 ---------------- configgen/generated/machamp.json | 158 --------------- configgen/generated/psyduck.json | 191 ------------------ configgen/generated/sandslash.json | 158 --------------- ...org.chipsalliance.t1.elaborator.t1.T1.toml | 8 + ...lliance.t1.elaborator.t1emu.Testbench.toml | 8 + ...e.t1.elaborator.t1rocketemu.Testbench.toml | 2 + ....t1.elaborator.t1rocketv.T1RocketTile.toml | 2 + 8 files changed, 20 insertions(+), 681 deletions(-) delete mode 100644 configgen/generated/blastoise.json delete mode 100644 configgen/generated/machamp.json delete mode 100644 configgen/generated/psyduck.json delete mode 100644 configgen/generated/sandslash.json create mode 100644 designs/org.chipsalliance.t1.elaborator.t1.T1.toml create mode 100644 designs/org.chipsalliance.t1.elaborator.t1emu.Testbench.toml create mode 100644 designs/org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml create mode 100644 designs/org.chipsalliance.t1.elaborator.t1rocketv.T1RocketTile.toml diff --git a/configgen/generated/blastoise.json b/configgen/generated/blastoise.json deleted file mode 100644 index 290ef86c1..000000000 --- a/configgen/generated/blastoise.json +++ /dev/null @@ -1,174 +0,0 @@ -{ - "parameter": { - "vLen": 512, - "dLen": 256, - "extensions": [ - "Zve32f" - ], - "t1customInstructions": [], - "vrfBankSize": 1, - "vrfRamType": "org.chipsalliance.t1.rtl.vrf.RamType.p0rwp1rw", - "vfuInstantiateParameter": { - "slotCount": 4, - "logicModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.MaskedLogic" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "aluModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 0 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 1 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 2 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 3 - ] - ] - ], - "shifterModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneShifter" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "mulModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 2 - }, - "generator": "org.chipsalliance.t1.rtl.LaneMul" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "divModuleParameters": [], - "divfpModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneDivFP" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "otherModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "vlMaxBits": 10, - "groupNumberBits": 4, - "laneNumberBits": 3, - "dataPathByteWidth": 4, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.OtherUnit" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "floatModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 3 - }, - "generator": "org.chipsalliance.t1.rtl.LaneFloat" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "zvbbModuleParameters": [] - } - }, - "generator": "org.chipsalliance.t1.rtl.T1" -} \ No newline at end of file diff --git a/configgen/generated/machamp.json b/configgen/generated/machamp.json deleted file mode 100644 index ceeaf5e59..000000000 --- a/configgen/generated/machamp.json +++ /dev/null @@ -1,158 +0,0 @@ -{ - "parameter": { - "vLen": 1024, - "dLen": 512, - "extensions": [ - "Zve32x" - ], - "t1customInstructions": [], - "vrfBankSize": 2, - "vrfRamType": "org.chipsalliance.t1.rtl.vrf.RamType.p0rp1w", - "vfuInstantiateParameter": { - "slotCount": 4, - "logicModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.MaskedLogic" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "aluModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 0 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 1 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 2 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 3 - ] - ] - ], - "shifterModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneShifter" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "mulModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 2 - }, - "generator": "org.chipsalliance.t1.rtl.LaneMul" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "divModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneDiv" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "divfpModuleParameters": [], - "otherModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "vlMaxBits": 11, - "groupNumberBits": 4, - "laneNumberBits": 4, - "dataPathByteWidth": 4, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.OtherUnit" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "floatModuleParameters": [], - "zvbbModuleParameters": [] - } - }, - "generator": "org.chipsalliance.t1.rtl.T1" -} \ No newline at end of file diff --git a/configgen/generated/psyduck.json b/configgen/generated/psyduck.json deleted file mode 100644 index 04a2f3572..000000000 --- a/configgen/generated/psyduck.json +++ /dev/null @@ -1,191 +0,0 @@ -{ - "parameter": { - "vLen": 512, - "dLen": 256, - "extensions": [ - "Zve32f", - "Zvbb" - ], - "t1customInstructions": [], - "vrfBankSize": 1, - "vrfRamType": "org.chipsalliance.t1.rtl.vrf.RamType.p0rwp1rw", - "vfuInstantiateParameter": { - "slotCount": 4, - "logicModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.MaskedLogic" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "aluModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 0 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 1 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 2 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 3 - ] - ] - ], - "shifterModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneShifter" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "mulModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 2 - }, - "generator": "org.chipsalliance.t1.rtl.LaneMul" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "divModuleParameters": [], - "divfpModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneDivFP" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "otherModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "vlMaxBits": 10, - "groupNumberBits": 4, - "laneNumberBits": 3, - "dataPathByteWidth": 4, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.OtherUnit" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "floatModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 3 - }, - "generator": "org.chipsalliance.t1.rtl.LaneFloat" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "zvbbModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 3 - }, - "generator": "org.chipsalliance.t1.rtl.LaneZvbb" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ] - } - }, - "generator": "org.chipsalliance.t1.rtl.T1" -} \ No newline at end of file diff --git a/configgen/generated/sandslash.json b/configgen/generated/sandslash.json deleted file mode 100644 index 688085fe1..000000000 --- a/configgen/generated/sandslash.json +++ /dev/null @@ -1,158 +0,0 @@ -{ - "parameter": { - "vLen": 4096, - "dLen": 1024, - "extensions": [ - "Zve32x" - ], - "t1customInstructions": [], - "vrfBankSize": 4, - "vrfRamType": "org.chipsalliance.t1.rtl.vrf.RamType.p0rw", - "vfuInstantiateParameter": { - "slotCount": 4, - "logicModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.MaskedLogic" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "aluModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 0 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 1 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 2 - ] - ], - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneAdder" - }, - [ - 3 - ] - ] - ], - "shifterModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneShifter" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "mulModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 2 - }, - "generator": "org.chipsalliance.t1.rtl.LaneMul" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "divModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.LaneDiv" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "divfpModuleParameters": [], - "otherModuleParameters": [ - [ - { - "parameter": { - "datapathWidth": 32, - "vlMaxBits": 13, - "groupNumberBits": 5, - "laneNumberBits": 5, - "dataPathByteWidth": 4, - "latency": 1 - }, - "generator": "org.chipsalliance.t1.rtl.OtherUnit" - }, - [ - 0, - 1, - 2, - 3 - ] - ] - ], - "floatModuleParameters": [], - "zvbbModuleParameters": [] - } - }, - "generator": "org.chipsalliance.t1.rtl.T1" -} \ No newline at end of file diff --git a/designs/org.chipsalliance.t1.elaborator.t1.T1.toml b/designs/org.chipsalliance.t1.elaborator.t1.T1.toml new file mode 100644 index 000000000..fb43bf724 --- /dev/null +++ b/designs/org.chipsalliance.t1.elaborator.t1.T1.toml @@ -0,0 +1,8 @@ +[blastoise] +cmdopt = "--dLen 256 --extensions zvl512b --extensions zve32f --vrfBankSize 1 --vrfRamType p0rwp1rw --vfuInstantiateParameter small" +[machamp] +cmdopt = "--dLen 512 --extensions zvl1024b --extensions zve32x --vrfBankSize 2 --vrfRamType p0rp1w --vfuInstantiateParameter small" +[psyduck] +cmdopt = "--dLen 256 --extensions zvl512b --extensions zve32f --extensions zvbb --vrfBankSize 1 --vrfRamType p0rwp1rw --vfuInstantiateParameter small" +[sandslash] +cmdopt = "--dLen 1024 --extensions zvl4096b --extensions zve32x --vrfBankSize 4 --vrfRamType p0rw --vfuInstantiateParameter small" diff --git a/designs/org.chipsalliance.t1.elaborator.t1emu.Testbench.toml b/designs/org.chipsalliance.t1.elaborator.t1emu.Testbench.toml new file mode 100644 index 000000000..fb43bf724 --- /dev/null +++ b/designs/org.chipsalliance.t1.elaborator.t1emu.Testbench.toml @@ -0,0 +1,8 @@ +[blastoise] +cmdopt = "--dLen 256 --extensions zvl512b --extensions zve32f --vrfBankSize 1 --vrfRamType p0rwp1rw --vfuInstantiateParameter small" +[machamp] +cmdopt = "--dLen 512 --extensions zvl1024b --extensions zve32x --vrfBankSize 2 --vrfRamType p0rp1w --vfuInstantiateParameter small" +[psyduck] +cmdopt = "--dLen 256 --extensions zvl512b --extensions zve32f --extensions zvbb --vrfBankSize 1 --vrfRamType p0rwp1rw --vfuInstantiateParameter small" +[sandslash] +cmdopt = "--dLen 1024 --extensions zvl4096b --extensions zve32x --vrfBankSize 4 --vrfRamType p0rw --vfuInstantiateParameter small" diff --git a/designs/org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml b/designs/org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml new file mode 100644 index 000000000..9aaa75f46 --- /dev/null +++ b/designs/org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml @@ -0,0 +1,2 @@ +[t1rocket] +cmdopt = "--instructionSets rv32_i --instructionSets rv_m --instructionSets rv_a --instructionSets rv_c --instructionSets rv_f --instructionSets rv_v --instructionSets zve32f --instructionSets zvl1024b --cacheBlockBytes 32 --nPMPs 8 --cacheable 11111111111111111111111111111111 --sideEffects 00000000-1fffffff --dcacheNSets 64 --dcacheNWays 4 --dcacheRowBits 32 --iCacheNSets 32 --iCacheNWays 4 --iCachePrefetch false --dLen 256 --vrfBankSize 2 --vrfRamType p0rp1w --vfuInstantiateParameter small" diff --git a/designs/org.chipsalliance.t1.elaborator.t1rocketv.T1RocketTile.toml b/designs/org.chipsalliance.t1.elaborator.t1rocketv.T1RocketTile.toml new file mode 100644 index 000000000..9aaa75f46 --- /dev/null +++ b/designs/org.chipsalliance.t1.elaborator.t1rocketv.T1RocketTile.toml @@ -0,0 +1,2 @@ +[t1rocket] +cmdopt = "--instructionSets rv32_i --instructionSets rv_m --instructionSets rv_a --instructionSets rv_c --instructionSets rv_f --instructionSets rv_v --instructionSets zve32f --instructionSets zvl1024b --cacheBlockBytes 32 --nPMPs 8 --cacheable 11111111111111111111111111111111 --sideEffects 00000000-1fffffff --dcacheNSets 64 --dcacheNWays 4 --dcacheRowBits 32 --iCacheNSets 32 --iCacheNWays 4 --iCachePrefetch false --dLen 256 --vrfBankSize 2 --vrfRamType p0rp1w --vfuInstantiateParameter small" From e1c736c4fdfef59bce16cc94354f6f17c022cf61 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 15:44:41 +0800 Subject: [PATCH 07/23] [nix] remove configgen and merge omreader into mill module Signed-off-by: Avimitin --- nix/t1/default.nix | 4 +- nix/t1/mill-modules.nix | 19 ++++---- nix/t1/omreader.nix | 104 ---------------------------------------- 3 files changed, 12 insertions(+), 115 deletions(-) delete mode 100644 nix/t1/omreader.nix diff --git a/nix/t1/default.nix b/nix/t1/default.nix index 01c91d11c..bdd6dc5ff 100644 --- a/nix/t1/default.nix +++ b/nix/t1/default.nix @@ -32,7 +32,7 @@ lib.makeScope newScope # --------------------------------------------------------------------------------- _t1MillModules = t1Scope.callPackage ./mill-modules.nix { }; elaborator = t1Scope._t1MillModules.elaborator // { meta.mainProgram = "elaborator"; }; - configgen = t1Scope._t1MillModules.configgen // { meta.mainProgram = "configgen"; }; + omreader-unwrapped = t1Scope._t1MillModules.omreader // { meta.mainProgram = "omreader"; }; t1package = t1Scope._t1MillModules.t1package; # --------------------------------------------------------------------------------- @@ -90,8 +90,6 @@ lib.makeScope newScope t1rocket = t1Scope.callPackage ./t1rocket.nix { }; # Nix specification for rocket (rocket-chip only) emulator rocketv = t1Scope.callPackage ../../rocketemu { }; - - omreader-unwrapped = t1Scope.callPackage ./omreader.nix { }; } # Nix specification for t1 (with spike only) emulator # We don't expect extra scope for t1 stuff, so here we merge the t1 at t1Scope level. diff --git a/nix/t1/mill-modules.nix b/nix/t1/mill-modules.nix index 058e6690c..e54f79dc2 100644 --- a/nix/t1/mill-modules.nix +++ b/nix/t1/mill-modules.nix @@ -29,7 +29,6 @@ let ./../../omreaderlib ./../../t1emu/src ./../../elaborator - ./../../configgen/src ./../../rocketv ./../../t1rocket/src ./../../t1rocketemu/src @@ -80,16 +79,16 @@ let env = { CIRCT_INSTALL_PATH = circt-full; JEXTRACT_INSTALL_PATH = jextract-21; + JAVA_TOOL_OPTIONS = "--enable-preview"; }; - outputs = [ "out" "configgen" "elaborator" "t1package" ]; + outputs = [ "out" "omreader" "elaborator" "t1package" ]; # Check code format before starting build, so that we can enforce all developer run reformat before build. configurePhase = '' runHook preConfigure _targetsToCheck=( - "configgen" "elaborator" "omreader" "omreaderlib" @@ -129,22 +128,26 @@ let add-determinism $@ >/dev/null } add-determinism-q out/elaborator/assembly.dest/out.jar - add-determinism-q out/configgen/assembly.dest/out.jar + add-determinism-q out/omreader/assembly.dest/out.jar add-determinism-q out/t1package/assembly.dest/out.jar add-determinism-q out/t1package/sourceJar.dest/out.jar add-determinism-q out/t1package/chiselPluginJar.dest/out.jar - mv out/configgen/assembly.dest/out.jar $out/share/java/configgen.jar mv out/elaborator/assembly.dest/out.jar $out/share/java/elaborator.jar + mv out/omreader/assembly.dest/out.jar "$out"/share/java/omreader.jar mkdir -p $t1package/share/java mv out/t1package/sourceJar.dest/out.jar $t1package/share/java/t1package-sources.jar mv out/t1package/assembly.dest/out.jar $t1package/share/java/t1package.jar mv out/t1package/chiselPluginJar.dest/out.jar $t1package/share/java/chiselPluginJar.jar - mkdir -p $configgen/bin $elaborator/bin - makeWrapper ${jdk21}/bin/java $configgen/bin/configgen --add-flags "-jar $out/share/java/configgen.jar" - makeWrapper ${jdk21}/bin/java $elaborator/bin/elaborator --add-flags "--enable-preview -Djava.library.path=${circt-full}/lib -cp $out/share/java/elaborator.jar org.chipsalliance.t1.elaborator.Main" + mkdir -p $omreader/bin $elaborator/bin + makeWrapper ${jdk21}/bin/java $elaborator/bin/elaborator \ + --add-flags "--enable-preview -Djava.library.path=${circt-full}/lib -cp $out/share/java/elaborator.jar" + makeWrapper ${jdk21}/bin/java "$omreader"/bin/omreader \ + --add-flags "--enable-preview --enable-native-access=ALL-UNNAMED -Djava.library.path=${circt-full}/lib -jar $out/share/java/omreader.jar" + # omreader will print unwanted stuff to stderr, here we just wrap the redirect for users + echo "$(cat "$omreader"/bin/omreader) 2> /dev/null" > "$omreader"/bin/omreader ''; }; in diff --git a/nix/t1/omreader.nix b/nix/t1/omreader.nix deleted file mode 100644 index 6e9d496a6..000000000 --- a/nix/t1/omreader.nix +++ /dev/null @@ -1,104 +0,0 @@ -{ lib -, stdenv -, runCommand -, fetchMillDeps -, makeWrapper -, jdk21 - - # chisel deps -, mill -, git -, circt-full -, jextract-21 -, strip-nondeterminism - -, dependencies -}: - -let - self = stdenv.mkDerivation rec { - name = "omreader"; - - src = with lib.fileset; toSource { - root = ./../..; - fileset = unions [ - ./../../build.sc - ./../../common.sc - ./../../omreader - ./../../omreaderlib - ]; - }; - - passthru.millDeps = fetchMillDeps { - inherit name; - src = with lib.fileset; toSource { - root = ./../..; - fileset = unions [ - ./../../build.sc - ./../../common.sc - ./../../.scalafmt.conf - ]; - }; - millDepsHash = "sha256-pixG96IxJsYlgIU+DVxGHky6G5nMfHXphEq5A/xLP7Q="; - nativeBuildInputs = [ dependencies.setupHook ]; - }; - - passthru = { - editable = self.overrideAttrs (_: { - shellHook = '' - setupSubmodulesEditable - mill mill.bsp.BSP/install 0 - ''; - }); - - mkWrapper = { mlirbc }: runCommand "wrap-omreader" - { nativeBuildInputs = [ makeWrapper ]; meta.mainProgram = "omreader"; } - '' - mkdir -p "$out/bin" - mlirbc=$(find ${mlirbc}/ -type f) - makeWrapper ${self}/bin/omreader "$out/bin/omreader" --append-flags "--mlirbc-file $mlirbc" - ''; - }; - - shellHook = '' - setupSubmodules - ''; - - nativeBuildInputs = [ - mill - jextract-21 - strip-nondeterminism - circt-full - git - - makeWrapper - passthru.millDeps.setupHook - - dependencies.setupHook - ]; - - env = { - JEXTRACT_INSTALL_PATH = jextract-21; - CIRCT_INSTALL_PATH = circt-full; - JAVA_TOOL_OPTIONS = "--enable-preview"; - }; - - buildPhase = '' - mill -i 'omreader.assembly' - ''; - - installPhase = '' - mkdir -p "$out"/bin "$out"/share/java - strip-nondeterminism out/omreader/assembly.dest/out.jar - mv out/omreader/assembly.dest/out.jar "$out"/share/java/omreader.jar - makeWrapper ${jdk21}/bin/java "$out"/bin/omreader --add-flags "--enable-preview --enable-native-access=ALL-UNNAMED -Djava.library.path=${circt-full}/lib -jar $out/share/java/omreader.jar" - echo "$(cat "$out"/bin/omreader) 2> /dev/null" > "$out"/bin/omreader - ''; - - meta = { - description = "CLI reads OM properties from MLIR bytecodes"; - mainProgram = "omreader"; - }; - }; -in -self From 20351ff2bf2939a48bf26b5a14ff86a08cdb1d94 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 18:04:24 +0800 Subject: [PATCH 08/23] [nix] use latest elaborator cmdline for chisel-to-mlirbc Signed-off-by: Avimitin --- nix/t1/conversion/chisel-to-mlirbc.nix | 36 ++++++++++++++------------ 1 file changed, 19 insertions(+), 17 deletions(-) diff --git a/nix/t1/conversion/chisel-to-mlirbc.nix b/nix/t1/conversion/chisel-to-mlirbc.nix index 10a643c6b..04568c69b 100644 --- a/nix/t1/conversion/chisel-to-mlirbc.nix +++ b/nix/t1/conversion/chisel-to-mlirbc.nix @@ -8,38 +8,40 @@ }: { outputName -, elaboratorArgs ? null +, generatorClassName +, elaboratorArgs }: -assert lib.assertMsg (elaboratorArgs != null) "elaborateArgs is not set"; -assert lib.assertMsg (builtins.typeOf elaboratorArgs == "list") "elaborateArgs is not a list"; -assert lib.assertMsg (!(lib.elem "--target-dir" elaboratorArgs)) "--target-dir is set internally, please remove it from top scope"; +assert lib.assertMsg (builtins.typeOf elaboratorArgs == "string") "elaborateArgs should be string"; +assert lib.assertMsg (builtins.typeOf generatorClassName == "string") "arg `generator` should be string"; +assert lib.assertMsg (lib.hasPrefix "org.chipsalliance.t1" generatorClassName) "Wrong generator name ${generatorClassName}"; +assert lib.assertMsg (!(lib.hasInfix generatorClassName elaboratorArgs)) "Duplicated generator name in elaboratorArgs"; -let - elaborateArgStr = lib.escapeShellArgs elaboratorArgs; -in stdenvNoCC.mkDerivation { name = outputName; nativeBuildInputs = [ espresso circt ]; - elaborateOutDir = "elaborate"; buildCommand = '' - mkdir -p "$out" "$elaborateOutDir" + mkdir -p "$out" - # --------------------------------------------------------------------------------- - # Run elaborator - # --------------------------------------------------------------------------------- - elaborateProc="${elaborator}/bin/elaborator ${elaborateArgStr} --target-dir $elaborateOutDir" - echo "[nix] running elaborator: $elaborateProc" - $builder -c "$elaborateProc" + mkdir stage1 && pushd stage1 + + elaborateProc="${elaborator}/bin/elaborator ${generatorClassName} ${elaboratorArgs}" + echo "[nix] Generating config with cmd opt: $elaborateProc" + eval "$elaborateProc" + popd + + mkdir stage2 && pushd stage2 + echo "[nix] Elaborating with generated config" + ${elaborator}/bin/elaborator ${generatorClassName} design --parameter ../stage1/*.json # --------------------------------------------------------------------------------- # Run circt toolchain # --------------------------------------------------------------------------------- echo "[nix] elaborate finish, parsing output with firtool" - firtool elaborate/*.fir \ - --annotation-file elaborate/*.anno.json \ + firtool *.fir \ + --annotation-file *.json \ --emit-bytecode \ --parse-only \ -o $out/$name From ec277d8e707c25dba12658f59e5e39277a33a17f Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 18:41:12 +0800 Subject: [PATCH 09/23] [nix] rewrite to latest TOP lowering methods Signed-off-by: Avimitin --- nix/t1/default.nix | 37 +++-- nix/t1/mill-modules.nix | 2 - nix/t1/t1.nix | 289 +++++++++++++++++----------------------- 3 files changed, 153 insertions(+), 175 deletions(-) diff --git a/nix/t1/default.nix b/nix/t1/default.nix index bdd6dc5ff..a189b5421 100644 --- a/nix/t1/default.nix +++ b/nix/t1/default.nix @@ -8,16 +8,35 @@ let moldStdenv = useMoldLinker stdenv; - t1ConfigsDir = ../../configgen/generated; + t1DesignsConfigsDir = ../../designs; - # allConfigs is a (configName -> configJsonPath) map - allConfigs = lib.mapAttrs' - (fileName: fileType: - assert fileType == "regular" && lib.hasSuffix ".json" fileName; - lib.nameValuePair - (lib.removeSuffix ".json" fileName) - (lib.path.append t1ConfigsDir fileName)) - (builtins.readDir t1ConfigsDir); + allConfigs = lib.pipe t1DesignsConfigsDir [ + builtins.readDir + # => { "filename" -> "filetype" } + (lib.mapAttrs' + (fileName: fileType: + assert fileType == "regular" && lib.hasSuffix ".toml" fileName; + lib.nameValuePair + (lib.removeSuffix ".toml" fileName) + (lib.path.append t1DesignsConfigsDir fileName))) + # => { "filename without .toml suffix, use as generator className" -> "realpath to config" } + (lib.mapAttrs + (_: filePath: with builtins; fromTOML (readFile filePath))) + # => { "generator className" -> { "elaborator configName" -> "elaborator cmd opt" } } + lib.attrsToList + # => [ { name: "generator className", value: { "configName": { "cmd opt": } } }, ... ] + (map + (kv: lib.mapAttrs' + (configName: configData: + lib.nameValuePair configName { "${kv.name}" = configData; }) + kv.value)) + # => [ { "configName": { "generator className" = { cmdopt: ; }; } }, ... ] + (lib.foldl + (accum: item: + lib.recursiveUpdate accum item) + { }) + # => { "configName A": { "generator A" = { cmdopt }, "generator B" = { cmdopt } }; "configName B" = ...; ... } + ]; in lib.makeScope newScope (t1Scope: diff --git a/nix/t1/mill-modules.nix b/nix/t1/mill-modules.nix index e54f79dc2..85e81f885 100644 --- a/nix/t1/mill-modules.nix +++ b/nix/t1/mill-modules.nix @@ -146,8 +146,6 @@ let --add-flags "--enable-preview -Djava.library.path=${circt-full}/lib -cp $out/share/java/elaborator.jar" makeWrapper ${jdk21}/bin/java "$omreader"/bin/omreader \ --add-flags "--enable-preview --enable-native-access=ALL-UNNAMED -Djava.library.path=${circt-full}/lib -jar $out/share/java/omreader.jar" - # omreader will print unwanted stuff to stderr, here we just wrap the redirect for users - echo "$(cat "$omreader"/bin/omreader) 2> /dev/null" > "$omreader"/bin/omreader ''; }; in diff --git a/nix/t1/t1.nix b/nix/t1/t1.nix index 3f6daa337..0965e9382 100644 --- a/nix/t1/t1.nix +++ b/nix/t1/t1.nix @@ -2,171 +2,132 @@ # return attribute set with following hierarchy: # { -# "blastoise": { ip = { mlirbc = ...; vcs-emu = ...; ... } } -# "...": { ip = { mlirbc = ...; vcs-emu = ...; ... } } +# "blastoise": { generatorName = { mlirbc = ...; vcs-emu = ...; ... } } +# "...": { generatorName = { mlirbc = ...; vcs-emu = ...; ... } } # } lib.mapAttrs - (configName: configPath: - # by using makeScope, callPackage can send the following attributes to package parameters - lib.makeScope t1Scope.newScope (cfgScope: rec { - recurseForDerivations = true; - - # For package name concatenate - inherit configName; - - elaborateConfigJson = configPath; - elaborateConfig = builtins.fromJSON (lib.readFile configPath); - - ip = lib.makeScope cfgScope.newScope (ipScope: { - recurseForDerivations = true; - - cases = ipScope.callPackage ../../tests { }; - - # --------------------------------------------------------------------------------- - # T1 only, without test bench - # --------------------------------------------------------------------------------- - mlirbc = t1Scope.chisel-to-mlirbc { - outputName = "t1-non-testbench.mlirbc"; - elaboratorArgs = [ - "ip" - "--ip-config" - "${elaborateConfigJson}" - ]; - }; - - lowered-mlirbc = t1Scope.finalize-mlirbc { - outputName = "lowered-" + ipScope.mlirbc.name; - mlirbc = ipScope.mlirbc; - }; - - rtl = t1Scope.mlirbc-to-sv { - outputName = "t1-non-testbench-rtl"; - mlirbc = ipScope.lowered-mlirbc; - mfcArgs = [ - "-O=release" - "--disable-all-randomization" - "--split-verilog" - "--preserve-values=all" - "--strip-debug-info" - "--strip-fir-debug-info" - "--verification-flavor=sva" - "--lowering-options=verifLabels,omitVersionComment,emittedLineLength=240,locationInfoStyle=none" - ]; - }; - - omreader = t1Scope.omreader-unwrapped.mkWrapper { mlirbc = ipScope.lowered-mlirbc; }; - - # --------------------------------------------------------------------------------- - # T1 with test bench - # --------------------------------------------------------------------------------- - emu-mlirbc = t1Scope.chisel-to-mlirbc { - outputName = "t1-emu.mlirbc"; - elaboratorArgs = [ "t1emu" "--ip-config" "${elaborateConfigJson}" ]; - }; - - lowered-emu-mlirbc = t1Scope.finalize-mlirbc { - outputName = "lowered" + ipScope.emu-mlirbc.outputName; - mlirbc = ipScope.emu-mlirbc; - }; - - emu-rtl = t1Scope.mlirbc-to-sv { - outputName = "t1-emu-rtl"; - mlirbc = ipScope.lowered-emu-mlirbc; - mfcArgs = [ - "-O=release" - "--split-verilog" - "--preserve-values=all" - "--verification-flavor=if-else-fatal" - "--lowering-options=verifLabels,omitVersionComment" - "--strip-debug-info" - ]; - }; - - emu-omreader = t1Scope.omreader-unwrapped.mkWrapper { mlirbc = ipScope.lowered-emu-mlirbc; }; - omGet = args: lib.toLower (lib.fileContents (runCommand "get-${args}" { } '' - ${ipScope.emu-omreader}/bin/omreader ${args} > $out - '')); - rtlDesignMetadata = with ipScope; rec { - march = omGet "march"; - extensions = builtins.fromJSON (omGet "extensionsJson"); - vlen = omGet "vlen"; - dlen = omGet "dlen"; - xlen = if (lib.hasPrefix "rv32" march) then 32 else 64; - }; - - # --------------------------------------------------------------------------------- - # VERILATOR - # --------------------------------------------------------------------------------- - makeDifftest = ipScope.callPackage ../../difftest { }; - - verilator-dpi-lib = ipScope.makeDifftest { - outputName = "t1-verilator-dpi-lib"; - emuType = "verilator"; - moduleType = "dpi_t1"; - }; - verilator-dpi-lib-trace = ipScope.makeDifftest { - outputName = "t1-verilator-trace-dpi-lib"; - emuType = "verilator"; - moduleType = "dpi_t1"; - enableTrace = true; - }; - - verilator-emu = t1Scope.sv-to-verilator-emulator { - mainProgram = "t1-verilated-simulator"; - rtl = ipScope.emu-rtl; - extraVerilatorArgs = [ "${ipScope.verilator-dpi-lib}/lib/libdpi_t1.a" ]; - }; - verilator-emu-trace = t1Scope.sv-to-verilator-emulator { - mainProgram = "t1-verilated-trace-simulator"; - rtl = ipScope.emu-rtl; - enableTrace = true; - extraVerilatorArgs = [ "${ipScope.verilator-dpi-lib-trace}/lib/libdpi_t1.a" ]; - }; - - # --------------------------------------------------------------------------------- - # VCS - # --------------------------------------------------------------------------------- - vcs-dpi-lib = ipScope.makeDifftest { - outputName = "t1-vcs-dpi-lib"; - emuType = "vcs"; - moduleType = "dpi_t1"; - }; - vcs-dpi-lib-trace = ipScope.makeDifftest { - outputName = "t1-vcs-dpi-trace-lib"; - emuType = "vcs"; - enableTrace = true; - moduleType = "dpi_t1"; - }; - - offline-checker = ipScope.makeDifftest { - outputName = "t1-offline-checker"; - moduleType = "offline_t1"; - }; - - vcs-emu = t1Scope.sv-to-vcs-simulator { - mainProgram = "t1-vcs-simulator"; - rtl = ipScope.emu-rtl; - vcsLinkLibs = [ "${ipScope.vcs-dpi-lib}/lib/libdpi_t1.a" ]; - }; - vcs-emu-trace = t1Scope.sv-to-vcs-simulator { - mainProgram = "t1-vcs-trace-simulator"; - rtl = ipScope.emu-rtl; - enableTrace = true; - vcsLinkLibs = [ "${ipScope.vcs-dpi-lib-trace}/lib/libdpi_t1.a" ]; - }; - - run = ipScope.callPackage ./run { }; - }); # end of ipScope - - subsystem = rec { - recurseForDerivations = true; - - elaborate = cfgScope.callPackage ./elaborate.nix { target = "subsystem"; /* use-binder = true; */ }; - mlirbc = cfgScope.callPackage ./mlirbc.nix { inherit elaborate; }; - rtl = cfgScope.callPackage ./rtl.nix { inherit mlirbc; }; - }; - - release = cfgScope.callPackage ./release { }; - }) # end of cfgScope + (configName: allGenerators: + let + strippedGeneratorData = lib.mapAttrs' + (fullClassName: origData: + lib.nameValuePair + (lib.head (lib.splitString "." (lib.removePrefix "org.chipsalliance.t1.elaborator." fullClassName))) + (origData // { inherit fullClassName; })) + allGenerators; + in + lib.mapAttrs + (shortName: generator: + lib.makeScope t1Scope.newScope + (mostInnerScope: + lib.recurseIntoAttrs { + inherit configName; + + cases = mostInnerScope.callPackage ../../tests { }; + + mlirbc = t1Scope.chisel-to-mlirbc { + outputName = "${generator.fullClassName}.mlirbc"; + generatorClassName = generator.fullClassName; + elaboratorArgs = "config ${generator.cmdopt}"; + }; + + lowered-mlirbc = t1Scope.finalize-mlirbc { + outputName = "lowered-" + mostInnerScope.mlirbc.name; + mlirbc = mostInnerScope.mlirbc; + }; + + rtl = t1Scope.mlirbc-to-sv { + outputName = "${generator.fullClassName}-rtl"; + mlirbc = mostInnerScope.lowered-mlirbc; + mfcArgs = [ + "-O=release" + "--disable-all-randomization" + "--split-verilog" + "--preserve-values=all" + "--strip-debug-info" + "--strip-fir-debug-info" + "--verification-flavor=sva" + "--lowering-options=verifLabels,omitVersionComment,emittedLineLength=240,locationInfoStyle=none" + ]; + }; + + omGet = args: lib.toLower (lib.fileContents (runCommand "get-${args}" { } '' + ${t1Scope.omreader-unwrapped}/bin/omreader \ + ${args} \ + --mlirbc-file ${mostInnerScope.lowered-mlirbc}/${mostInnerScope.lowered-mlirbc.name} \ + > $out + '')); + rtlDesignMetadata = with mostInnerScope; rec { + march = omGet "march"; + extensions = builtins.fromJSON (omGet "extensionsJson"); + vlen = omGet "vlen"; + dlen = omGet "dlen"; + xlen = if (lib.hasPrefix "rv32" march) then 32 else 64; + }; + + # --------------------------------------------------------------------------------- + # VERILATOR + # --------------------------------------------------------------------------------- + makeDifftest = mostInnerScope.callPackage ../../difftest { }; + + verilator-dpi-lib = mostInnerScope.makeDifftest { + outputName = "${shortName}-verilator-dpi-lib"; + emuType = "verilator"; + moduleType = "dpi_t1"; + }; + verilator-dpi-lib-trace = mostInnerScope.makeDifftest { + outputName = "${shortName}-verilator-trace-dpi-lib"; + emuType = "verilator"; + moduleType = "dpi_t1"; + enableTrace = true; + }; + + verilator-emu = t1Scope.sv-to-verilator-emulator { + mainProgram = "${shortName}-verilated-simulator"; + rtl = mostInnerScope.rtl; + extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib}/lib/libdpi_t1.a" ]; + }; + verilator-emu-trace = t1Scope.sv-to-verilator-emulator { + mainProgram = "${shortName}-verilated-trace-simulator"; + rtl = mostInnerScope.rtl; + enableTrace = true; + extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib-trace}/lib/libdpi_t1.a" ]; + }; + + # --------------------------------------------------------------------------------- + # VCS + # --------------------------------------------------------------------------------- + vcs-dpi-lib = mostInnerScope.makeDifftest { + outputName = "${shortName}-vcs-dpi-lib"; + emuType = "vcs"; + moduleType = "dpi_t1"; + }; + vcs-dpi-lib-trace = mostInnerScope.makeDifftest { + outputName = "${shortName}-vcs-dpi-trace-lib"; + emuType = "vcs"; + enableTrace = true; + moduleType = "dpi_t1"; + }; + + offline-checker = mostInnerScope.makeDifftest { + outputName = "${shortName}-offline-checker"; + moduleType = "offline_t1"; + }; + + vcs-emu = t1Scope.sv-to-vcs-simulator { + mainProgram = "${shortName}-vcs-simulator"; + rtl = mostInnerScope.rtl; + vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib}/lib/libdpi_t1.a" ]; + }; + vcs-emu-trace = t1Scope.sv-to-vcs-simulator { + mainProgram = "${shortName}-vcs-trace-simulator"; + rtl = mostInnerScope.rtl; + enableTrace = true; + vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib-trace}/lib/libdpi_t1.a" ]; + }; + + run = mostInnerScope.callPackage ./run { }; + }) + ) + strippedGeneratorData ) # end of anonymous lambda allConfigs # end of lib.mapAttrs From bfb1ddd089347e671fc6770afc1b947357021ab9 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 18:57:00 +0800 Subject: [PATCH 10/23] [nix] remove outdated standalone t1rocket attribute Signed-off-by: Avimitin --- ....elaborator.t1rocketemu.T1RocketTile.toml} | 0 nix/t1/default.nix | 8 - nix/t1/run/default.nix | 2 +- nix/t1/t1rocket.nix | 145 ------------------ 4 files changed, 1 insertion(+), 154 deletions(-) rename designs/{org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml => org.chipsalliance.t1.elaborator.t1rocketemu.T1RocketTile.toml} (100%) delete mode 100644 nix/t1/t1rocket.nix diff --git a/designs/org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml b/designs/org.chipsalliance.t1.elaborator.t1rocketemu.T1RocketTile.toml similarity index 100% rename from designs/org.chipsalliance.t1.elaborator.t1rocketemu.Testbench.toml rename to designs/org.chipsalliance.t1.elaborator.t1rocketemu.T1RocketTile.toml diff --git a/nix/t1/default.nix b/nix/t1/default.nix index a189b5421..b176e0177 100644 --- a/nix/t1/default.nix +++ b/nix/t1/default.nix @@ -101,14 +101,6 @@ lib.makeScope newScope # sv-to-vcs-simulator will compile the given rtl, link with path specified in vcsLinksLibs to produce a VCS emulator. # enableTrace is false by default; sv-to-vcs-simulator = t1Scope.callPackage ./conversion/sv-to-vcs-simulator.nix { }; - - # --------------------------------------------------------------------------------- - # Grouped emulator packages - # --------------------------------------------------------------------------------- - # Nix specification for t1rocket (with rocket-chip as Scalar core) emulator - t1rocket = t1Scope.callPackage ./t1rocket.nix { }; - # Nix specification for rocket (rocket-chip only) emulator - rocketv = t1Scope.callPackage ../../rocketemu { }; } # Nix specification for t1 (with spike only) emulator # We don't expect extra scope for t1 stuff, so here we merge the t1 at t1Scope level. diff --git a/nix/t1/run/default.nix b/nix/t1/run/default.nix index c41454131..687942ced 100644 --- a/nix/t1/run/default.nix +++ b/nix/t1/run/default.nix @@ -41,7 +41,7 @@ let _getAllResult = emuType: let testPlan = builtins.fromJSON - (lib.readFile ../../../.github/${if configName == "t1rocket" then "t1rocket-cases" else "cases"}/${configName}/default.json); + (lib.readFile ../../../.github/${configName}/default.json); # flattern the attr set to a list of test case derivations # AttrSet (AttrSet Derivation) -> List Derivation allCasesResult = lib.pipe emuAttrs [ diff --git a/nix/t1/t1rocket.nix b/nix/t1/t1rocket.nix deleted file mode 100644 index 447171288..000000000 --- a/nix/t1/t1rocket.nix +++ /dev/null @@ -1,145 +0,0 @@ -{ lib -, newScope - -, chisel-to-mlirbc -, finalize-mlirbc -, mlirbc-to-sv -, sv-to-verilator-emulator -, sv-to-vcs-simulator -}: - -{ - ip = lib.makeScope newScope (scope: { - - cases = scope.callPackage ../../tests { }; - run = scope.callPackage ./run { configName = "t1rocket"; }; - - mlirbc = chisel-to-mlirbc { - outputName = "t1rocketemu-parsed.mlirbc"; - elaboratorArgs = [ - "t1rocketemu" - "--t1rocket-config" - "${../../t1rocketemu/configs/default.json}" - ]; - }; - - lowered-mlirbc = finalize-mlirbc { - outputName = "t1rocketemu-lowered.mlirbc"; - mlirbc = scope.mlirbc; - }; - - rtl = mlirbc-to-sv { - outputName = "t1rocketemu-rtl"; - mlirbc = scope.lowered-mlirbc; - mfcArgs = [ - "-O=release" - "--split-verilog" - "--preserve-values=all" - "--verification-flavor=if-else-fatal" - "--lowering-options=verifLabels,omitVersionComment" - "--strip-debug-info" - ]; - }; - - makeDifftest = scope.callPackage ../../difftest { }; - verilator-dpi-lib = scope.makeDifftest { - outputName = "t1rocket-verilator-dpi-lib"; - moduleType = "dpi_t1rocket"; - emuType = "verilator"; - }; - verilator-dpi-lib-trace = scope.makeDifftest { - outputName = "t1rocket-verilator-trace-dpi-lib"; - moduleType = "dpi_t1rocket"; - emuType = "verilator"; - enableTrace = true; - }; - - verilator-emu = sv-to-verilator-emulator { - mainProgram = "t1rocket-verilated-simulator"; - rtl = scope.rtl; - extraVerilatorArgs = [ - "--threads-max-mtasks" - "8000" - "${scope.verilator-dpi-lib}/lib/libdpi_t1rocket.a" - ]; - }; - verilator-emu-trace = sv-to-verilator-emulator { - mainProgram = "t1rocket-verilated-trace-simulator"; - rtl = scope.rtl; - enableTrace = true; - extraVerilatorArgs = [ - "--threads-max-mtasks" - "8000" - "${scope.verilator-dpi-lib}/lib/libdpi_t1rocket.a" - ]; - }; - - vcs-dpi-lib = scope.makeDifftest { - outputName = "t1rocket-vcs-dpi-lib"; - moduleType = "dpi_t1rocket"; - emuType = "vcs"; - }; - vcs-dpi-lib-trace = scope.makeDifftest { - outputName = "t1rocket-vcs-dpi-trace-lib"; - moduleType = "dpi_t1rocket"; - emuType = "vcs"; - enableTrace = true; - }; - - offline-checker = scope.makeDifftest { - outputName = "t1rocket-offline-checker"; - moduleType = "offline_t1rocket"; - }; - - vcs-emu = sv-to-vcs-simulator { - mainProgram = "t1rocket-vcs-simulator"; - rtl = scope.rtl; - vcsLinkLibs = [ "${scope.vcs-dpi-lib}/lib/libdpi_t1rocket.a" ]; - }; - vcs-emu-trace = sv-to-vcs-simulator { - mainProgram = "t1rocket-vcs-trace-simulator"; - rtl = scope.rtl; - enableTrace = true; - vcsLinkLibs = [ "${scope.vcs-dpi-lib-trace}/lib/libdpi_t1rocket.a" ]; - }; - - getVLen = ext: - let - val = builtins.tryEval - (lib.toInt - (lib.removeSuffix "b" - (lib.removePrefix "zvl" - (lib.toLower ext)))); - in - if val.success then - val.value - else - throw "Invalid vlen extension `${ext}` specify, expect Zvl{N}b"; - - # TODO: designConfig should be read from OM - designConfig = with builtins; (fromJSON (readFile ../../t1rocketemu/configs/default.json)).parameter; - - # TODO: We should have a type define, to keep t1 and t1rocket feeds same `rtlDesignMetadata` data structure. - rtlDesignMetadata = rec { - # TODO: `march` and `dlen` should be read from OM - # - # Although the string is already hard-coded in lower case, the toLower function call here is to remind developer that, - # when we switch OM, we should always ensure the march input is lower case. - march = lib.toLower "rv32gc_zve32f_zvl1024b"; - extensions = lib.splitString "_" march; - dlen = scope.designConfig.dLen; - xlen = if (lib.hasPrefix "rv32" march) then 32 else 64; - - # Find "Zvl{N}b" string in march and parse it to vlen. - # Extract earlier so that downstream derivation that relies on this value doesn't have to parse the string multiple times. - vlen = lib.pipe (march) [ - (lib.splitString "_") - (lib.filter (x: lib.hasPrefix "zvl" x)) - (lib.last) - (lib.removePrefix "zvl") - (lib.removeSuffix "b") - (lib.toInt) - ]; - }; - }); -} From b0b2e60012b9e9426e59c7faacb09531a220afdd Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 19:11:52 +0800 Subject: [PATCH 11/23] [difftest] suffix all dpi module with -emu Signed-off-by: Avimitin --- difftest/Cargo.toml | 8 ++++---- difftest/default.nix | 10 +++++----- difftest/{dpi_t1 => dpi_t1emu}/Cargo.toml | 2 +- difftest/{dpi_t1 => dpi_t1emu}/src/dpi.rs | 0 difftest/{dpi_t1 => dpi_t1emu}/src/drive.rs | 0 difftest/{dpi_t1 => dpi_t1emu}/src/lib.rs | 0 .../Cargo.toml | 2 +- .../src/dpi.rs | 0 .../src/drive.rs | 0 .../src/lib.rs | 0 .../{offline_t1 => offline_t1emu}/Cargo.toml | 2 +- .../src/difftest.rs | 0 .../{offline_t1 => offline_t1emu}/src/dut.rs | 0 .../src/json_events.rs | 0 .../{offline_t1 => offline_t1emu}/src/main.rs | 0 .../Cargo.toml | 2 +- .../src/difftest.rs | 0 .../src/dut.rs | 0 .../src/json_events.rs | 0 .../src/main.rs | 0 nix/t1/t1.nix | 18 +++++++++--------- 21 files changed, 22 insertions(+), 22 deletions(-) rename difftest/{dpi_t1 => dpi_t1emu}/Cargo.toml (95%) rename difftest/{dpi_t1 => dpi_t1emu}/src/dpi.rs (100%) rename difftest/{dpi_t1 => dpi_t1emu}/src/drive.rs (100%) rename difftest/{dpi_t1 => dpi_t1emu}/src/lib.rs (100%) rename difftest/{dpi_t1rocket => dpi_t1rocketemu}/Cargo.toml (94%) rename difftest/{dpi_t1rocket => dpi_t1rocketemu}/src/dpi.rs (100%) rename difftest/{dpi_t1rocket => dpi_t1rocketemu}/src/drive.rs (100%) rename difftest/{dpi_t1rocket => dpi_t1rocketemu}/src/lib.rs (100%) rename difftest/{offline_t1 => offline_t1emu}/Cargo.toml (94%) rename difftest/{offline_t1 => offline_t1emu}/src/difftest.rs (100%) rename difftest/{offline_t1 => offline_t1emu}/src/dut.rs (100%) rename difftest/{offline_t1 => offline_t1emu}/src/json_events.rs (100%) rename difftest/{offline_t1 => offline_t1emu}/src/main.rs (100%) rename difftest/{offline_t1rocket => offline_t1rocketemu}/Cargo.toml (92%) rename difftest/{offline_t1rocket => offline_t1rocketemu}/src/difftest.rs (100%) rename difftest/{offline_t1rocket => offline_t1rocketemu}/src/dut.rs (100%) rename difftest/{offline_t1rocket => offline_t1rocketemu}/src/json_events.rs (100%) rename difftest/{offline_t1rocket => offline_t1rocketemu}/src/main.rs (100%) diff --git a/difftest/Cargo.toml b/difftest/Cargo.toml index 06f733a27..60efa77ef 100644 --- a/difftest/Cargo.toml +++ b/difftest/Cargo.toml @@ -2,10 +2,10 @@ resolver = "2" members = [ "spike_rs", - "offline_t1", - "offline_t1rocket", - "dpi_t1", - "dpi_t1rocket", + "offline_t1emu", + "offline_t1rocketemu", + "dpi_t1emu", + "dpi_t1rocketemu", "dpi_common", ] exclude = [ diff --git a/difftest/default.nix b/difftest/default.nix index 38a672186..dc6667e52 100644 --- a/difftest/default.nix +++ b/difftest/default.nix @@ -13,7 +13,7 @@ }: assert let - available = [ "dpi_t1" "dpi_t1rocket" "offline_t1" "offline_t1rocket" ]; + available = [ "dpi_t1emu" "dpi_t1rocketemu" "offline_t1emu" "offline_t1rocketemu" ]; in lib.assertMsg (lib.elem moduleType available) "emuType is not in ${lib.concatStringsSep ", " available}"; # if emuType is empty, then moduleType must be offline-*, or user should give valid emuType @@ -25,11 +25,11 @@ rustPlatform.buildRustPackage { root = ./.; fileset = unions [ ./spike_rs - ./offline_t1 - ./offline_t1rocket + ./offline_t1emu + ./offline_t1rocketemu ./dpi_common - ./dpi_t1 - ./dpi_t1rocket + ./dpi_t1emu + ./dpi_t1rocketemu ./Cargo.lock ./Cargo.toml ./.rustfmt.toml diff --git a/difftest/dpi_t1/Cargo.toml b/difftest/dpi_t1emu/Cargo.toml similarity index 95% rename from difftest/dpi_t1/Cargo.toml rename to difftest/dpi_t1emu/Cargo.toml index b25a52139..ddd501d99 100644 --- a/difftest/dpi_t1/Cargo.toml +++ b/difftest/dpi_t1emu/Cargo.toml @@ -1,5 +1,5 @@ [package] -name = "dpi_t1" +name = "dpi_t1emu" edition = "2021" version.workspace = true diff --git a/difftest/dpi_t1/src/dpi.rs b/difftest/dpi_t1emu/src/dpi.rs similarity index 100% rename from difftest/dpi_t1/src/dpi.rs rename to difftest/dpi_t1emu/src/dpi.rs diff --git a/difftest/dpi_t1/src/drive.rs b/difftest/dpi_t1emu/src/drive.rs similarity index 100% rename from difftest/dpi_t1/src/drive.rs rename to difftest/dpi_t1emu/src/drive.rs diff --git a/difftest/dpi_t1/src/lib.rs b/difftest/dpi_t1emu/src/lib.rs similarity index 100% rename from difftest/dpi_t1/src/lib.rs rename to difftest/dpi_t1emu/src/lib.rs diff --git a/difftest/dpi_t1rocket/Cargo.toml b/difftest/dpi_t1rocketemu/Cargo.toml similarity index 94% rename from difftest/dpi_t1rocket/Cargo.toml rename to difftest/dpi_t1rocketemu/Cargo.toml index 45253a8d3..cfc80aef7 100644 --- a/difftest/dpi_t1rocket/Cargo.toml +++ b/difftest/dpi_t1rocketemu/Cargo.toml @@ -1,5 +1,5 @@ [package] -name = "dpi_t1rocket" +name = "dpi_t1rocketemu" edition = "2021" version.workspace = true diff --git a/difftest/dpi_t1rocket/src/dpi.rs b/difftest/dpi_t1rocketemu/src/dpi.rs similarity index 100% rename from difftest/dpi_t1rocket/src/dpi.rs rename to difftest/dpi_t1rocketemu/src/dpi.rs diff --git a/difftest/dpi_t1rocket/src/drive.rs b/difftest/dpi_t1rocketemu/src/drive.rs similarity index 100% rename from difftest/dpi_t1rocket/src/drive.rs rename to difftest/dpi_t1rocketemu/src/drive.rs diff --git a/difftest/dpi_t1rocket/src/lib.rs b/difftest/dpi_t1rocketemu/src/lib.rs similarity index 100% rename from difftest/dpi_t1rocket/src/lib.rs rename to difftest/dpi_t1rocketemu/src/lib.rs diff --git a/difftest/offline_t1/Cargo.toml b/difftest/offline_t1emu/Cargo.toml similarity index 94% rename from difftest/offline_t1/Cargo.toml rename to difftest/offline_t1emu/Cargo.toml index 8d77199be..8013827fa 100644 --- a/difftest/offline_t1/Cargo.toml +++ b/difftest/offline_t1emu/Cargo.toml @@ -1,5 +1,5 @@ [package] -name = "offline_t1" +name = "offline_t1emu" version = "0.1.0" edition = "2021" diff --git a/difftest/offline_t1/src/difftest.rs b/difftest/offline_t1emu/src/difftest.rs similarity index 100% rename from difftest/offline_t1/src/difftest.rs rename to difftest/offline_t1emu/src/difftest.rs diff --git a/difftest/offline_t1/src/dut.rs b/difftest/offline_t1emu/src/dut.rs similarity index 100% rename from difftest/offline_t1/src/dut.rs rename to difftest/offline_t1emu/src/dut.rs diff --git a/difftest/offline_t1/src/json_events.rs b/difftest/offline_t1emu/src/json_events.rs similarity index 100% rename from difftest/offline_t1/src/json_events.rs rename to difftest/offline_t1emu/src/json_events.rs diff --git a/difftest/offline_t1/src/main.rs b/difftest/offline_t1emu/src/main.rs similarity index 100% rename from difftest/offline_t1/src/main.rs rename to difftest/offline_t1emu/src/main.rs diff --git a/difftest/offline_t1rocket/Cargo.toml b/difftest/offline_t1rocketemu/Cargo.toml similarity index 92% rename from difftest/offline_t1rocket/Cargo.toml rename to difftest/offline_t1rocketemu/Cargo.toml index 19aa68c01..37c749d35 100644 --- a/difftest/offline_t1rocket/Cargo.toml +++ b/difftest/offline_t1rocketemu/Cargo.toml @@ -1,5 +1,5 @@ [package] -name = "offline_t1rocket" +name = "offline_t1rocketemu" version = "0.1.0" edition = "2021" diff --git a/difftest/offline_t1rocket/src/difftest.rs b/difftest/offline_t1rocketemu/src/difftest.rs similarity index 100% rename from difftest/offline_t1rocket/src/difftest.rs rename to difftest/offline_t1rocketemu/src/difftest.rs diff --git a/difftest/offline_t1rocket/src/dut.rs b/difftest/offline_t1rocketemu/src/dut.rs similarity index 100% rename from difftest/offline_t1rocket/src/dut.rs rename to difftest/offline_t1rocketemu/src/dut.rs diff --git a/difftest/offline_t1rocket/src/json_events.rs b/difftest/offline_t1rocketemu/src/json_events.rs similarity index 100% rename from difftest/offline_t1rocket/src/json_events.rs rename to difftest/offline_t1rocketemu/src/json_events.rs diff --git a/difftest/offline_t1rocket/src/main.rs b/difftest/offline_t1rocketemu/src/main.rs similarity index 100% rename from difftest/offline_t1rocket/src/main.rs rename to difftest/offline_t1rocketemu/src/main.rs diff --git a/nix/t1/t1.nix b/nix/t1/t1.nix index 0965e9382..b4166fd2a 100644 --- a/nix/t1/t1.nix +++ b/nix/t1/t1.nix @@ -72,25 +72,25 @@ lib.mapAttrs verilator-dpi-lib = mostInnerScope.makeDifftest { outputName = "${shortName}-verilator-dpi-lib"; emuType = "verilator"; - moduleType = "dpi_t1"; + moduleType = "dpi_${shortName}"; }; verilator-dpi-lib-trace = mostInnerScope.makeDifftest { outputName = "${shortName}-verilator-trace-dpi-lib"; emuType = "verilator"; - moduleType = "dpi_t1"; + moduleType = "dpi_${shortName}"; enableTrace = true; }; verilator-emu = t1Scope.sv-to-verilator-emulator { mainProgram = "${shortName}-verilated-simulator"; rtl = mostInnerScope.rtl; - extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib}/lib/libdpi_t1.a" ]; + extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib}/lib/libdpi_${shortName}.a" ]; }; verilator-emu-trace = t1Scope.sv-to-verilator-emulator { mainProgram = "${shortName}-verilated-trace-simulator"; rtl = mostInnerScope.rtl; enableTrace = true; - extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib-trace}/lib/libdpi_t1.a" ]; + extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib-trace}/lib/libdpi_${shortName}.a" ]; }; # --------------------------------------------------------------------------------- @@ -99,30 +99,30 @@ lib.mapAttrs vcs-dpi-lib = mostInnerScope.makeDifftest { outputName = "${shortName}-vcs-dpi-lib"; emuType = "vcs"; - moduleType = "dpi_t1"; + moduleType = "dpi_${shortName}"; }; vcs-dpi-lib-trace = mostInnerScope.makeDifftest { outputName = "${shortName}-vcs-dpi-trace-lib"; emuType = "vcs"; enableTrace = true; - moduleType = "dpi_t1"; + moduleType = "dpi_${shortName}"; }; offline-checker = mostInnerScope.makeDifftest { outputName = "${shortName}-offline-checker"; - moduleType = "offline_t1"; + moduleType = "offline_${shortName}"; }; vcs-emu = t1Scope.sv-to-vcs-simulator { mainProgram = "${shortName}-vcs-simulator"; rtl = mostInnerScope.rtl; - vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib}/lib/libdpi_t1.a" ]; + vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib}/lib/libdpi_${shortName}.a" ]; }; vcs-emu-trace = t1Scope.sv-to-vcs-simulator { mainProgram = "${shortName}-vcs-trace-simulator"; rtl = mostInnerScope.rtl; enableTrace = true; - vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib-trace}/lib/libdpi_t1.a" ]; + vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib-trace}/lib/libdpi_${shortName}.a" ]; }; run = mostInnerScope.callPackage ./run { }; From 2805223afe69804069e6cb3b733dcc490051ae2e Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 19:38:10 +0800 Subject: [PATCH 12/23] [ci] fix CI script to use latest nix structure Signed-off-by: Avimitin --- .github/workflows/pd.yml | 19 +++++++++++-------- .github/workflows/t1rocket.yml | 12 ++++++------ .github/workflows/trace.yml | 3 ++- .github/workflows/vcs.yml | 8 ++++---- .github/workflows/verilator.yml | 8 ++++---- difftest/default.nix | 2 +- script/ci/src/Main.scala | 25 ++++++++++--------------- 7 files changed, 38 insertions(+), 39 deletions(-) diff --git a/.github/workflows/pd.yml b/.github/workflows/pd.yml index 8b8af45db..b152176be 100644 --- a/.github/workflows/pd.yml +++ b/.github/workflows/pd.yml @@ -28,15 +28,18 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Test elaborate" run: | - configArray=( $(ls configgen/generated | sed 's/\.json$//') ) + configArray=( $(ls .github/designs) ) + ipArray=( "t1emu" "t1rocketemu" ) for cfg in "${configArray[@]}"; do - echo "Building .#t1.${cfg}.ip.rtl" - if ! nix build ".#t1.${cfg}.ip.rtl" -L; then - failed_rtl_configs+=("${cfg}.ip") - fi - if ! nix run ".#ci-helper" -- runOMTests --config ${cfg}; then - failed_om+=("${cfg}") - fi + for ip in "${ipArray[@]}"; do + echo "Building .#t1.${cfg}.${ip}.rtl" + if ! nix build ".#t1.${cfg}.${ip}.rtl" -L; then + failed_rtl_configs+=("${cfg}.${ip}") + fi + if ! nix run ".#ci-helper" -- runOMTests --config ${cfg}; then + failed_om+=("${cfg}") + fi + done done if [ -n "${failed_rtl_configs[*]}" ]; then echo -e "## Failed RTL\n" >> "$GITHUB_STEP_SUMMARY" diff --git a/.github/workflows/t1rocket.yml b/.github/workflows/t1rocket.yml index a5b24f4f0..92945dfdd 100644 --- a/.github/workflows/t1rocket.yml +++ b/.github/workflows/t1rocket.yml @@ -1,4 +1,4 @@ -name: VCS Test (T1 w/ Rocket) +name: T1 Rocket VCS Emulator Test on: pull_request: types: @@ -27,7 +27,7 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - id: "get-all-configs" - run: echo "out=$(nix run .#ci-helper -- generateTestPlan --testType t1rocket)" > $GITHUB_OUTPUT + run: echo "out=$(nix run .#ci-helper -- generateTestPlan)" > $GITHUB_OUTPUT build-emulators: name: "Build VCS Emulators w/ Rocket" @@ -42,10 +42,10 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build vcs emulator" run: | - nix build '.#t1.${{ matrix.config }}.ip.vcs-emu' --impure --no-link --cores 64 + nix build '.#t1.${{ matrix.config }}.t1rocketemu.vcs-emu' --impure --no-link - name: "Build all testcases" run: | - nix build ".#t1.${{ matrix.config }}.ip.cases._all" --max-jobs auto --no-link --cores 64 + nix build ".#t1.${{ matrix.config }}.t1rocketemu.cases._all" --max-jobs auto --no-link --cores 64 gen-matrix: name: "Prepare for running testcases" @@ -66,7 +66,7 @@ jobs: name: "Generate test matrix" run: | echo -n matrix= >> "$GITHUB_OUTPUT" - nix run ".#ci-helper" -- generateCiMatrix --caseDir t1rocket-cases --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" + nix run ".#ci-helper" -- generateCiMatrix --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" run-testcases: name: "Run VCS w/ Rocket" @@ -81,7 +81,7 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Run testcases" run: | - nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "vcs" + nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "vcs" --testIp "t1rocketemu" report: name: "Report VCS (w/ Rocket) CI result" diff --git a/.github/workflows/trace.yml b/.github/workflows/trace.yml index a7efb8e16..f153aeba5 100644 --- a/.github/workflows/trace.yml +++ b/.github/workflows/trace.yml @@ -42,4 +42,5 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build vcs emulator" run: | - nix build '.#t1.${{ matrix.config }}.ip.vcs-emu-trace' --impure --no-link --cores 64 \ No newline at end of file + nix build '.#t1.${{ matrix.config }}.t1emu.vcs-emu-trace' --impure --no-link --cores 64 + nix build '.#t1.${{ matrix.config }}.t1rocketemu.vcs-emu-trace' --impure --no-link --cores 64 diff --git a/.github/workflows/vcs.yml b/.github/workflows/vcs.yml index 1b36c6c2f..52ca5d0af 100644 --- a/.github/workflows/vcs.yml +++ b/.github/workflows/vcs.yml @@ -1,4 +1,4 @@ -name: VCS Test +name: T1 VCS Emulator Test on: pull_request: types: @@ -42,10 +42,10 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build vcs emulator" run: | - nix build '.#t1.${{ matrix.config }}.ip.vcs-emu' --impure --no-link --cores 64 + nix build '.#t1.${{ matrix.config }}.t1emu.vcs-emu' --impure --no-link - name: "Build all testcases" run: | - nix build ".#t1.${{ matrix.config }}.ip.cases._all" --max-jobs auto --no-link --cores 64 + nix build ".#t1.${{ matrix.config }}.t1emu.cases._all" --max-jobs auto --no-link --cores 64 gen-matrix: name: "Prepare for running testcases" @@ -81,7 +81,7 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Run testcases" run: | - nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "vcs" + nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "vcs" --testIp "t1emu" report: name: "Report VCS CI result" diff --git a/.github/workflows/verilator.yml b/.github/workflows/verilator.yml index cfe7eb774..18362566c 100644 --- a/.github/workflows/verilator.yml +++ b/.github/workflows/verilator.yml @@ -30,11 +30,11 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build verilator emulator" run: | - nix build '.#t1.${{ matrix.config }}.ip.verilator-emu' -L --no-link --cores 64 + nix build '.#t1.${{ matrix.config }}.t1emu.verilator-emu' -L --no-link --cores 64 - name: "Build all testcases" run: | # Build testcases with vlen 1024 and vlen 4096 - nix build ".#t1.${{ matrix.config }}.ip.cases._all" --max-jobs auto -L --no-link --cores 64 + nix build ".#t1.${{ matrix.config }}.t1emu.cases._all" --max-jobs auto -L --no-link --cores 64 # In the future, we may choose Verdi for trace, and left verilator trace only for performance evaluation build-verilator-trace-emulators: @@ -49,7 +49,7 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - name: "Build verilator emulator with trace" - run: nix build '.#t1.${{ matrix.config }}.ip.verilator-emu-trace' -L --no-link --cores 64 + run: nix build '.#t1.${{ matrix.config }}.t1emu.verilator-emu-trace' -L --no-link --cores 64 gen-matrix: name: "Prepare for running testcases" @@ -85,7 +85,7 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Run testcases" run: | - nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" + nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "verilator" --testIp "t1emu" report: name: "Report CI result" diff --git a/difftest/default.nix b/difftest/default.nix index dc6667e52..dc7f760d0 100644 --- a/difftest/default.nix +++ b/difftest/default.nix @@ -15,7 +15,7 @@ assert let available = [ "dpi_t1emu" "dpi_t1rocketemu" "offline_t1emu" "offline_t1rocketemu" ]; in -lib.assertMsg (lib.elem moduleType available) "emuType is not in ${lib.concatStringsSep ", " available}"; +lib.assertMsg (lib.elem moduleType available) "moduleType is not in ${lib.concatStringsSep ", " available}"; # if emuType is empty, then moduleType must be offline-*, or user should give valid emuType assert lib.assertMsg ((emuType == "" && lib.hasPrefix "offline" moduleType) || (lib.elem emuType [ "verilator" "vcs" ])) "emuType is either 'vcs' nor 'verilator'"; diff --git a/script/ci/src/Main.scala b/script/ci/src/Main.scala index 37244550a..b73de2a6c 100644 --- a/script/ci/src/Main.scala +++ b/script/ci/src/Main.scala @@ -125,7 +125,7 @@ object Main: @main def generateCiMatrix( runnersAmount: Int, - caseDir: String = "cases", + caseDir: String = "designs", testPlanFile: String = "default.json" ) = { val testPlans = @@ -145,7 +145,8 @@ object Main: @main def runTests( jobs: String, - testType: String = "verilator" + testIp: String, + testType: String ): Unit = if jobs == "" then Logger.info("No test found, exiting") @@ -162,8 +163,8 @@ object Main: val testAttr = testType.toLowerCase() match case "verilator" => - s".#t1.$config.ip.run.$caseName.verilator-emu" - case "vcs" => s".#t1.$config.ip.run.$caseName.vcs-emu" + s".#t1.$config.$testIp.run.$caseName.verilator-emu" + case "vcs" => s".#t1.$config.$testIp.run.$caseName.vcs-emu" case _ => Logger.fatal(s"Invalid test type ${testType}") val testResultPath = try @@ -308,17 +309,11 @@ object Main: end postCI @main - def generateTestPlan(testType: String = "") = - val casePath = testType match - case "t1rocket" => os.pwd / ".github" / "t1rocket-cases" - case _ => os.pwd / ".github" / "cases" - - val allCases = os.walk(casePath).filter(_.last == "default.json") - val testPlans = allCases.map: caseFilePath => - caseFilePath.segments - .dropWhile(!Seq("cases", "t1rocket-cases").contains(_)) - .drop(1) - .next + def generateTestPlan() = + val testPlans = os + .walk(os.pwd / ".github" / "designs") + .filter(_.last == "default.json") + .map(path => path.segments.toSeq.reverse.drop(1).head) println(ujson.write(Map("config" -> testPlans))) end generateTestPlan From 0470102b08b3185357967212afa9fd1740613ca1 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 20:19:52 +0800 Subject: [PATCH 13/23] [script] fix emu script to latest nix attr Signed-off-by: Avimitin --- script/emu/src/Main.scala | 50 ++++++++++++++++++++++++++++++++------- 1 file changed, 41 insertions(+), 9 deletions(-) diff --git a/script/emu/src/Main.scala b/script/emu/src/Main.scala index f8bb9e66b..93597f487 100644 --- a/script/emu/src/Main.scala +++ b/script/emu/src/Main.scala @@ -46,6 +46,7 @@ object Main: os.proc(args).call().out.trim() def resolveTestElfPath( + ip: String, config: String, caseName: String, forceX86: Boolean = false @@ -58,7 +59,7 @@ object Main: else ".#" val nixStorePath = resolveNixPath( - s"${caseAttrRoot}t1.${config}.ip.cases.${caseName}" + s"${caseAttrRoot}t1.${config}.${ip}.cases.${caseName}" ) val elfFilePath = os.Path(nixStorePath) / "bin" / s"${caseName}.elf" @@ -66,6 +67,7 @@ object Main: end resolveTestElfPath def resolveTestBenchPath( + ip: String, config: String, emuType: String ): os.Path = @@ -73,8 +75,8 @@ object Main: if (os.exists(emuPath)) then return emuPath val nixStorePath = - if emuType.contains("vcs-") then resolveNixPath(s".#t1.${config}.ip.${emuType}", Seq("--impure")) - else resolveNixPath(s".#t1.${config}.ip.${emuType}") + if emuType.contains("vcs-") then resolveNixPath(s".#t1.${config}.${ip}.${emuType}", Seq("--impure")) + else resolveNixPath(s".#t1.${config}.${ip}.${emuType}") val elfFilePath = os .walk(os.Path(nixStorePath) / "bin") @@ -142,6 +144,11 @@ object Main: if (cond) then input else null @main def run( + @arg( + name = "ip", + short = 'i', + doc = "IP type for emulator, Eg. t1emu, t1rocketemu" + ) ip: Option[String], @arg( name = "emu", short = 'e', @@ -178,6 +185,12 @@ object Main: val caseName = leftOver.value.head if !isValidCaseName(caseName) then Logger.fatal(s"invalid caseName '$caseName', expect 'A.B'") + val finalIp = tryRestoreFromCache("ip", ip) + if finalIp.isEmpty then + Logger.fatal( + s"No cached IP selection nor --ip argument was provided" + ) + val finalEmuType = tryRestoreFromCache("emulator", emuType) if finalEmuType.isEmpty then Logger.fatal( @@ -198,9 +211,9 @@ object Main: ) val caseElfPath = - resolveTestElfPath(finalConfig.get, caseName, forceX86) + resolveTestElfPath(finalIp.get, finalConfig.get, caseName, forceX86) val outputPath = prepareOutputDir(outDir.getOrElse("t1-sim-result")) - val emulator = resolveTestBenchPath(finalConfig.get, finalEmuType.get) + val emulator = resolveTestBenchPath(finalIp.get, finalConfig.get, finalEmuType.get) val leftOverArguments = leftOver.value.dropWhile(arg => arg != "--") @@ -226,7 +239,8 @@ object Main: ujson.Obj( "config" -> finalConfig.get, "elf" -> caseElfPath.toString, - "event" -> rtlEventPath.toString + "event" -> rtlEventPath.toString, + "ip" -> finalIp.get ) ) ) @@ -281,6 +295,11 @@ object Main: @main def check( + @arg( + name = "ip", + short = 'i', + doc = "IP type for emulator, Eg. t1emu, t1rocketemu" + ) ip: Option[String], @arg( name = "config", short = 'c', @@ -316,6 +335,14 @@ object Main: if os.exists(resultPath) then ujson.read(os.read(resultPath / "driver-state.json")) else ujson.Obj() + val finalIp = + if ip.isDefined then ip.get + else + lastState.obj + .get("ip") + .getOrElse(Logger.fatal("No driver-state.json nor --ip")) + .str + val finalConfig = if config.isDefined then config.get else @@ -325,11 +352,11 @@ object Main: .str val offlineChecker = os.Path( - resolveNixPath(s".#t1.${finalConfig}.ip.offline-checker") + resolveNixPath(s".#t1.${finalConfig}.${finalIp}.offline-checker") ) / "bin" / "offline" val elfFile = - if caseAttr.isDefined then resolveTestElfPath(finalConfig, caseAttr.get).toString + if caseAttr.isDefined then resolveTestElfPath(finalIp, finalConfig, caseAttr.get).toString else lastState.obj .get("elf") @@ -362,6 +389,11 @@ object Main: @main def listCases( + @arg( + name = "ip", + short = 'i', + doc = "specify the IP, such as t1emu, t1rocketemu" + ) ip: String, @arg( name = "config", short = 'c', @@ -378,7 +410,7 @@ object Main: "nix", "--no-warn-dirty", "eval", - s".#t1.${config}.ip.cases", + s".#t1.${config}.${ip}.cases", "--apply", """cases: with builtins; | (map From ec2a5998be50e40cd5fbd8849ddad1ff72d1f612 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Sat, 12 Oct 2024 18:50:02 +0800 Subject: [PATCH 14/23] [ci] clean up CI run targets Signed-off-by: Avimitin --- .github/cases/blastoise/perf.json | 9 - .github/cases/machamp/default.json | 448 --------------- .github/cases/machamp/perf.json | 5 - .github/cases/psyduck/default.json | 529 ------------------ .github/cases/psyduck/perf.json | 9 - .github/cases/sandslash/default.json | 448 --------------- .github/cases/sandslash/perf.json | 5 - .../{cases => designs}/blastoise/default.json | 4 +- .github/t1rocket-cases/t1rocket/default.json | 514 ----------------- .github/t1rocket-cases/t1rocket/perf.json | 9 - 10 files changed, 2 insertions(+), 1978 deletions(-) delete mode 100644 .github/cases/blastoise/perf.json delete mode 100644 .github/cases/machamp/default.json delete mode 100644 .github/cases/machamp/perf.json delete mode 100644 .github/cases/psyduck/default.json delete mode 100644 .github/cases/psyduck/perf.json delete mode 100644 .github/cases/sandslash/default.json delete mode 100644 .github/cases/sandslash/perf.json rename .github/{cases => designs}/blastoise/default.json (99%) delete mode 100644 .github/t1rocket-cases/t1rocket/default.json delete mode 100644 .github/t1rocket-cases/t1rocket/perf.json diff --git a/.github/cases/blastoise/perf.json b/.github/cases/blastoise/perf.json deleted file mode 100644 index b75990ed7..000000000 --- a/.github/cases/blastoise/perf.json +++ /dev/null @@ -1,9 +0,0 @@ -{ - "mlir.conv": 0, - "mlir.matmul": 0, - "mlir.stripmining": 26732, - "intrinsic.conv2d_less_m2": 2087, - "intrinsic.linear_normalization": 2878, - "intrinsic.matmul": 0, - "intrinsic.softmax": 7437 -} \ No newline at end of file diff --git a/.github/cases/machamp/default.json b/.github/cases/machamp/default.json deleted file mode 100644 index e76f92645..000000000 --- a/.github/cases/machamp/default.json +++ /dev/null @@ -1,448 +0,0 @@ -{ - "mlir.rvv_vp_intrinsic_add": 422, - "mlir.rvv_vp_intrinsic_add_scalable": 577, - "mlir.hello": 113, - "mlir.stripmining": 13447, - "asm.mmm": 91448, - "asm.smoke": 5028, - "intrinsic.conv2d_less_m2": 2606, - "codegen.vaadd_vv": 97093, - "codegen.vaadd_vx": 257348, - "codegen.vaaddu_vv": 97093, - "codegen.vaaddu_vx": 257348, - "codegen.vadc_vim": 24513, - "codegen.vadc_vvm": 12251, - "codegen.vadc_vxm": 30942, - "codegen.vadd_vi": 50825, - "codegen.vadd_vv": 24589, - "codegen.vadd_vx": 64652, - "codegen.vand_vi": 50879, - "codegen.vand_vv": 24589, - "codegen.vand_vx": 64727, - "codegen.vasub_vv": 97093, - "codegen.vasub_vx": 257348, - "codegen.vasubu_vv": 97093, - "codegen.vasubu_vx": 257348, - "codegen.vcompress_vm": 32240, - "codegen.vcpop_m": 2045, - "codegen.vdiv_vv": 35150, - "codegen.vdiv_vx": 207000, - "codegen.vdivu_vv": 35160, - "codegen.vdivu_vx": 216629, - "codegen.vfirst_m": 1829, - "codegen.vid_v": 17715, - "codegen.viota_m": 66100, - "codegen.vl1re16_v": 715, - "codegen.vl1re32_v": 715, - "codegen.vl1re8_v": 715, - "codegen.vl2re16_v": 749, - "codegen.vl2re32_v": 749, - "codegen.vl2re8_v": 749, - "codegen.vl4re16_v": 817, - "codegen.vl4re32_v": 817, - "codegen.vl4re8_v": 874, - "codegen.vl8re16_v": 956, - "codegen.vl8re32_v": 953, - "codegen.vl8re8_v": 953, - "codegen.vle16_v": 5647, - "codegen.vle16ff_v": 15038, - "codegen.vle32_v": 4757, - "codegen.vle32ff_v": 8917, - "codegen.vle8_v": 6473, - "codegen.vle8ff_v": 24749, - "codegen.vlm_v": 800, - "codegen.vloxei16_v": 41876, - "codegen.vloxei32_v": 22641, - "codegen.vloxei8_v": 60152, - "codegen.vloxseg2ei16_v": 41838, - "codegen.vloxseg2ei32_v": 23910, - "codegen.vloxseg2ei8_v": 55401, - "codegen.vloxseg3ei16_v": 30791, - "codegen.vloxseg3ei32_v": 18472, - "codegen.vloxseg3ei8_v": 39992, - "codegen.vloxseg4ei16_v": 36551, - "codegen.vloxseg4ei32_v": 20936, - "codegen.vloxseg4ei8_v": 48455, - "codegen.vloxseg5ei16_v": 21851, - "codegen.vloxseg5ei32_v": 9252, - "codegen.vloxseg5ei8_v": 30236, - "codegen.vloxseg6ei16_v": 24467, - "codegen.vloxseg6ei32_v": 9925, - "codegen.vloxseg6ei8_v": 34295, - "codegen.vloxseg7ei16_v": 27083, - "codegen.vloxseg7ei32_v": 10598, - "codegen.vloxseg7ei8_v": 38354, - "codegen.vloxseg8ei16_v": 29699, - "codegen.vloxseg8ei32_v": 11271, - "codegen.vloxseg8ei8_v": 42413, - "codegen.vlse16_v": 67346, - "codegen.vlse32_v": 38002, - "codegen.vlse8_v": 114669, - "codegen.vlseg2e16_v": 5014, - "codegen.vlseg2e32_v": 4034, - "codegen.vlseg2e8_v": 5951, - "codegen.vlseg3e16_v": 4225, - "codegen.vlseg3e32_v": 3056, - "codegen.vlseg3e8_v": 5326, - "codegen.vlseg4e16_v": 4363, - "codegen.vlseg4e32_v": 3156, - "codegen.vlseg4e8_v": 5475, - "codegen.vlseg5e16_v": 3439, - "codegen.vlseg5e32_v": 1903, - "codegen.vlseg5e8_v": 4887, - "codegen.vlseg6e16_v": 3514, - "codegen.vlseg6e32_v": 1938, - "codegen.vlseg6e8_v": 4976, - "codegen.vlseg7e16_v": 3588, - "codegen.vlseg7e32_v": 1971, - "codegen.vlseg7e8_v": 5083, - "codegen.vlseg8e16_v": 3661, - "codegen.vlseg8e32_v": 2004, - "codegen.vlseg8e8_v": 5168, - "codegen.vlsseg2e16_v": 61092, - "codegen.vlsseg2e32_v": 33489, - "codegen.vlsseg2e8_v": 115075, - "codegen.vlsseg3e16_v": 47239, - "codegen.vlsseg3e32_v": 22212, - "codegen.vlsseg3e8_v": 87432, - "codegen.vlsseg4e16_v": 58124, - "codegen.vlsseg4e32_v": 26262, - "codegen.vlsseg4e8_v": 110032, - "codegen.vlsseg5e16_v": 36632, - "codegen.vlsseg5e32_v": 11388, - "codegen.vlsseg5e8_v": 77184, - "codegen.vlsseg6e16_v": 41672, - "codegen.vlsseg6e32_v": 12503, - "codegen.vlsseg6e8_v": 89224, - "codegen.vlsseg7e16_v": 46712, - "codegen.vlsseg7e32_v": 13618, - "codegen.vlsseg7e8_v": 101264, - "codegen.vlsseg8e16_v": 51752, - "codegen.vlsseg8e32_v": 14733, - "codegen.vlsseg8e8_v": 113304, - "codegen.vluxei16_v": 41876, - "codegen.vluxei32_v": 22641, - "codegen.vluxei8_v": 60152, - "codegen.vluxseg2ei16_v": 41838, - "codegen.vluxseg2ei32_v": 23910, - "codegen.vluxseg2ei8_v": 55401, - "codegen.vluxseg3ei16_v": 30791, - "codegen.vluxseg3ei32_v": 18472, - "codegen.vluxseg3ei8_v": 39992, - "codegen.vluxseg4ei16_v": 36551, - "codegen.vluxseg4ei32_v": 20936, - "codegen.vluxseg4ei8_v": 48455, - "codegen.vluxseg5ei16_v": 21851, - "codegen.vluxseg5ei32_v": 9252, - "codegen.vluxseg5ei8_v": 30236, - "codegen.vluxseg6ei16_v": 24467, - "codegen.vluxseg6ei32_v": 9925, - "codegen.vluxseg6ei8_v": 34295, - "codegen.vluxseg7ei16_v": 27083, - "codegen.vluxseg7ei32_v": 10598, - "codegen.vluxseg7ei8_v": 38354, - "codegen.vluxseg8ei16_v": 29699, - "codegen.vluxseg8ei32_v": 11271, - "codegen.vluxseg8ei8_v": 42413, - "codegen.vmacc_vv": 25009, - "codegen.vmacc_vx": 78060, - "codegen.vmadc_vi": 37560, - "codegen.vmadc_vim": 39714, - "codegen.vmadc_vv": 11129, - "codegen.vmadc_vvm": 13331, - "codegen.vmadc_vx": 52086, - "codegen.vmadc_vxm": 53916, - "codegen.vmadd_vv": 25009, - "codegen.vmadd_vx": 78060, - "codegen.vmand_mm": 10003, - "codegen.vmandn_mm": 10003, - "codegen.vmax_vv": 24589, - "codegen.vmax_vx": 64652, - "codegen.vmaxu_vv": 24589, - "codegen.vmaxu_vx": 64652, - "codegen.vmerge_vim": 33376, - "codegen.vmerge_vvm": 13935, - "codegen.vmerge_vxm": 43041, - "codegen.vmin_vv": 24589, - "codegen.vmin_vx": 64652, - "codegen.vminu_vv": 24589, - "codegen.vminu_vx": 64652, - "codegen.vmnand_mm": 10003, - "codegen.vmnor_mm": 10003, - "codegen.vmor_mm": 10003, - "codegen.vmorn_mm": 10003, - "codegen.vmsbc_vv": 11129, - "codegen.vmsbc_vvm": 13283, - "codegen.vmsbc_vx": 51924, - "codegen.vmsbc_vxm": 53916, - "codegen.vmsbf_m": 1724, - "codegen.vmseq_vi": 90830, - "codegen.vmseq_vv": 27835, - "codegen.vmseq_vx": 124301, - "codegen.vmsgt_vi": 90830, - "codegen.vmsgt_vv": 27768, - "codegen.vmsgt_vx": 124301, - "codegen.vmsgtu_vi": 90830, - "codegen.vmsgtu_vv": 27768, - "codegen.vmsgtu_vx": 124301, - "codegen.vmsif_m": 1724, - "codegen.vmsle_vi": 90830, - "codegen.vmsle_vv": 27835, - "codegen.vmsle_vx": 124301, - "codegen.vmsleu_vi": 90830, - "codegen.vmsleu_vv": 27835, - "codegen.vmsleu_vx": 124301, - "codegen.vmslt_vv": 27835, - "codegen.vmslt_vx": 124301, - "codegen.vmsltu_vv": 27835, - "codegen.vmsltu_vx": 124301, - "codegen.vmsne_vi": 90830, - "codegen.vmsne_vv": 27835, - "codegen.vmsne_vx": 124301, - "codegen.vmsof_m": 1724, - "codegen.vmul_vv": 24892, - "codegen.vmul_vx": 87325, - "codegen.vmulh_vv": 24892, - "codegen.vmulh_vx": 87325, - "codegen.vmulhsu_vv": 24892, - "codegen.vmulhsu_vx": 87325, - "codegen.vmulhu_vv": 24892, - "codegen.vmulhu_vx": 87325, - "codegen.vmv_s_x": 1300, - "codegen.vmv_v_i": 17659, - "codegen.vmv_v_v": 9922, - "codegen.vmv_v_x": 8212, - "codegen.vmv_x_s": 1777, - "codegen.vmv1r_v": 1723, - "codegen.vmv2r_v": 1829, - "codegen.vmv4r_v": 2067, - "codegen.vmv8r_v": 2549, - "codegen.vmxnor_mm": 10003, - "codegen.vmxor_mm": 10003, - "codegen.vnclip_wi": 158712, - "codegen.vnclip_wv": 64709, - "codegen.vnclip_wx": 204216, - "codegen.vnclipu_wi": 158712, - "codegen.vnclipu_wv": 64709, - "codegen.vnclipu_wx": 204216, - "codegen.vnmsac_vv": 25009, - "codegen.vnmsac_vx": 78060, - "codegen.vnmsub_vv": 25009, - "codegen.vnmsub_vx": 78060, - "codegen.vnsra_wi": 39993, - "codegen.vnsra_wv": 16493, - "codegen.vnsra_wx": 51369, - "codegen.vnsrl_wi": 39993, - "codegen.vnsrl_wv": 16493, - "codegen.vnsrl_wx": 51369, - "codegen.vor_vi": 50879, - "codegen.vor_vv": 24589, - "codegen.vor_vx": 64727, - "codegen.vredand_vs": 32469, - "codegen.vredmax_vs": 32469, - "codegen.vredmaxu_vs": 32469, - "codegen.vredmin_vs": 32469, - "codegen.vredminu_vs": 32469, - "codegen.vredor_vs": 32469, - "codegen.vredsum_vs": 32469, - "codegen.vredxor_vs": 32469, - "codegen.vrem_vv": 35150, - "codegen.vrem_vx": 207000, - "codegen.vremu_vv": 35160, - "codegen.vremu_vx": 216629, - "codegen.vrgather_vi": 96288, - "codegen.vrgather_vv": 179824, - "codegen.vrgather_vx": 104707, - "codegen.vrgatherei16_vv": 135227, - "codegen.vrsub_vi": 50825, - "codegen.vrsub_vx": 64652, - "codegen.vs1r_v": 638, - "codegen.vs2r_v": 654, - "codegen.vs4r_v": 686, - "codegen.vs8r_v": 955, - "codegen.vsadd_vi": 50825, - "codegen.vsadd_vv": 24589, - "codegen.vsadd_vx": 64652, - "codegen.vsaddu_vi": 50825, - "codegen.vsaddu_vv": 24589, - "codegen.vsaddu_vx": 64652, - "codegen.vsbc_vvm": 12251, - "codegen.vsbc_vxm": 45267, - "codegen.vse16_v": 4515, - "codegen.vse32_v": 3797, - "codegen.vse8_v": 5233, - "codegen.vsetivli": 436, - "codegen.vsetvl": 436, - "codegen.vsetvli": 436, - "codegen.vsext_vf2": 40172, - "codegen.vsext_vf4": 6653, - "codegen.vslide1down_vx": 1632656, - "codegen.vslide1up_vx": 1626944, - "codegen.vslidedown_vi": 1146614, - "codegen.vslidedown_vx": 1628768, - "codegen.vslideup_vi": 1142970, - "codegen.vslideup_vx": 1625444, - "codegen.vsll_vi": 64703, - "codegen.vsll_vv": 27408, - "codegen.vsll_vx": 83433, - "codegen.vsm_v": 740, - "codegen.vsmul_vv": 98305, - "codegen.vsmul_vx": 264300, - "codegen.vsoxei16_v": 40011, - "codegen.vsoxei32_v": 21251, - "codegen.vsoxei8_v": 58279, - "codegen.vsoxseg2ei16_v": 40264, - "codegen.vsoxseg2ei32_v": 22609, - "codegen.vsoxseg2ei8_v": 53932, - "codegen.vsoxseg3ei16_v": 29783, - "codegen.vsoxseg3ei32_v": 17481, - "codegen.vsoxseg3ei8_v": 39145, - "codegen.vsoxseg4ei16_v": 35566, - "codegen.vsoxseg4ei32_v": 19952, - "codegen.vsoxseg4ei8_v": 47658, - "codegen.vsoxseg5ei16_v": 21632, - "codegen.vsoxseg5ei32_v": 8766, - "codegen.vsoxseg5ei8_v": 30389, - "codegen.vsoxseg6ei16_v": 24248, - "codegen.vsoxseg6ei32_v": 9439, - "codegen.vsoxseg6ei8_v": 34448, - "codegen.vsoxseg7ei16_v": 26864, - "codegen.vsoxseg7ei32_v": 10112, - "codegen.vsoxseg7ei8_v": 38507, - "codegen.vsoxseg8ei16_v": 29480, - "codegen.vsoxseg8ei32_v": 10785, - "codegen.vsoxseg8ei8_v": 42566, - "codegen.vsra_vi": 64703, - "codegen.vsra_vv": 27408, - "codegen.vsra_vx": 83433, - "codegen.vsrl_vi": 64703, - "codegen.vsrl_vv": 27408, - "codegen.vsrl_vx": 83433, - "codegen.vsse16_v": 70636, - "codegen.vsse32_v": 44668, - "codegen.vsse8_v": 111268, - "codegen.vsseg2e16_v": 4092, - "codegen.vsseg2e32_v": 3286, - "codegen.vsseg2e8_v": 4898, - "codegen.vsseg3e16_v": 3447, - "codegen.vsseg3e32_v": 2513, - "codegen.vsseg3e8_v": 4381, - "codegen.vsseg4e16_v": 3622, - "codegen.vsseg4e32_v": 2640, - "codegen.vsseg4e8_v": 4604, - "codegen.vsseg5e16_v": 2800, - "codegen.vsseg5e32_v": 1610, - "codegen.vsseg5e8_v": 3990, - "codegen.vsseg6e16_v": 2896, - "codegen.vsseg6e32_v": 1658, - "codegen.vsseg6e8_v": 4134, - "codegen.vsseg7e16_v": 2992, - "codegen.vsseg7e32_v": 1706, - "codegen.vsseg7e8_v": 4278, - "codegen.vsseg8e16_v": 3088, - "codegen.vsseg8e32_v": 1754, - "codegen.vsseg8e8_v": 4422, - "codegen.vssra_vi": 257549, - "codegen.vssra_vv": 108372, - "codegen.vssra_vx": 495153, - "codegen.vssrl_vi": 257549, - "codegen.vssrl_vv": 108372, - "codegen.vssrl_vx": 495153, - "codegen.vssseg2e16_v": 63468, - "codegen.vssseg2e32_v": 38692, - "codegen.vssseg2e8_v": 109676, - "codegen.vssseg3e16_v": 50400, - "codegen.vssseg3e32_v": 26864, - "codegen.vssseg3e8_v": 86292, - "codegen.vssseg4e16_v": 59156, - "codegen.vssseg4e32_v": 30148, - "codegen.vssseg4e8_v": 104484, - "codegen.vssseg5e16_v": 40068, - "codegen.vssseg5e32_v": 14608, - "codegen.vssseg5e8_v": 78052, - "codegen.vssseg6e16_v": 44100, - "codegen.vssseg6e32_v": 15500, - "codegen.vssseg6e8_v": 87684, - "codegen.vssseg7e16_v": 48132, - "codegen.vssseg7e32_v": 16392, - "codegen.vssseg7e8_v": 97316, - "codegen.vssseg8e16_v": 52164, - "codegen.vssseg8e32_v": 17284, - "codegen.vssseg8e8_v": 106948, - "codegen.vssub_vv": 24589, - "codegen.vssub_vx": 95081, - "codegen.vssubu_vv": 24589, - "codegen.vssubu_vx": 95081, - "codegen.vsub_vv": 24589, - "codegen.vsub_vx": 95081, - "codegen.vsuxei16_v": 40011, - "codegen.vsuxei32_v": 21251, - "codegen.vsuxei8_v": 58279, - "codegen.vsuxseg2ei16_v": 40264, - "codegen.vsuxseg2ei32_v": 22609, - "codegen.vsuxseg2ei8_v": 53932, - "codegen.vsuxseg3ei16_v": 29783, - "codegen.vsuxseg3ei32_v": 17481, - "codegen.vsuxseg3ei8_v": 39145, - "codegen.vsuxseg4ei16_v": 35566, - "codegen.vsuxseg4ei32_v": 19952, - "codegen.vsuxseg4ei8_v": 47658, - "codegen.vsuxseg5ei16_v": 21632, - "codegen.vsuxseg5ei32_v": 8766, - "codegen.vsuxseg5ei8_v": 30389, - "codegen.vsuxseg6ei16_v": 24248, - "codegen.vsuxseg6ei32_v": 9439, - "codegen.vsuxseg6ei8_v": 34448, - "codegen.vsuxseg7ei16_v": 26864, - "codegen.vsuxseg7ei32_v": 10112, - "codegen.vsuxseg7ei8_v": 38507, - "codegen.vsuxseg8ei16_v": 29480, - "codegen.vsuxseg8ei32_v": 10785, - "codegen.vsuxseg8ei8_v": 42566, - "codegen.vwadd_vv": 14846, - "codegen.vwadd_vx": 40699, - "codegen.vwadd_wv": 16207, - "codegen.vwadd_wx": 47504, - "codegen.vwaddu_vv": 14846, - "codegen.vwaddu_vx": 40699, - "codegen.vwaddu_wv": 16207, - "codegen.vwaddu_wx": 47504, - "codegen.vwmacc_vv": 15775, - "codegen.vwmacc_vx": 58610, - "codegen.vwmaccsu_vv": 15775, - "codegen.vwmaccsu_vx": 58610, - "codegen.vwmaccu_vv": 15775, - "codegen.vwmaccu_vx": 58610, - "codegen.vwmaccus_vx": 58610, - "codegen.vwmul_vv": 14989, - "codegen.vwmul_vx": 54457, - "codegen.vwmulsu_vv": 14989, - "codegen.vwmulsu_vx": 54457, - "codegen.vwmulu_vv": 14989, - "codegen.vwmulu_vx": 54457, - "codegen.vwredsum_vs": 19425, - "codegen.vwredsumu_vs": 19425, - "codegen.vwsub_vv": 14846, - "codegen.vwsub_vx": 40699, - "codegen.vwsub_wv": 16207, - "codegen.vwsub_wx": 47504, - "codegen.vwsubu_vv": 14846, - "codegen.vwsubu_vx": 40699, - "codegen.vwsubu_wv": 16207, - "codegen.vwsubu_wx": 47504, - "codegen.vxor_vi": 50879, - "codegen.vxor_vv": 24589, - "codegen.vxor_vx": 64727, - "codegen.vzext_vf2": 40172, - "codegen.vzext_vf4": 6653, - "rvv_bench.ascii_to_utf16": 35463, - "rvv_bench.ascii_to_utf32": 9918, - "rvv_bench.byteswap": 106931, - "rvv_bench.chacha20": 3, - "rvv_bench.memcpy": 36460, - "rvv_bench.memset": 8322, - "rvv_bench.mergelines": 87073, - "rvv_bench.poly1305": 3, - "rvv_bench.strlen": 23510, - "rvv_bench.utf8_count": 185060 -} \ No newline at end of file diff --git a/.github/cases/machamp/perf.json b/.github/cases/machamp/perf.json deleted file mode 100644 index 9f0fc6695..000000000 --- a/.github/cases/machamp/perf.json +++ /dev/null @@ -1,5 +0,0 @@ -{ - "mlir.conv": 0, - "mlir.matmul": 0, - "mlir.stripmining": 14447 -} \ No newline at end of file diff --git a/.github/cases/psyduck/default.json b/.github/cases/psyduck/default.json deleted file mode 100644 index b208cb21b..000000000 --- a/.github/cases/psyduck/default.json +++ /dev/null @@ -1,529 +0,0 @@ -{ - "asm.mmm": 92623, - "asm.smoke": 4922, - "codegen.vaadd_vv": 98389, - "codegen.vaadd_vx": 260192, - "codegen.vaaddu_vv": 98389, - "codegen.vaaddu_vx": 260192, - "codegen.vadc_vim": 25307, - "codegen.vadc_vvm": 12503, - "codegen.vadc_vxm": 31889, - "codegen.vadd_vi": 51599, - "codegen.vadd_vv": 24937, - "codegen.vadd_vx": 65387, - "codegen.vand_vi": 51570, - "codegen.vand_vv": 24937, - "codegen.vand_vx": 65361, - "codegen.vandn_vv": 26030, - "codegen.vandn_vx": 79774, - "codegen.vasub_vv": 98389, - "codegen.vasub_vx": 260192, - "codegen.vasubu_vv": 98389, - "codegen.vasubu_vx": 260192, - "codegen.vbrev8_v": 6562, - "codegen.vbrev_v": 6562, - "codegen.vclz_v": 6562, - "codegen.vcompress_vm": 22201, - "codegen.vcpop_m": 2003, - "codegen.vcpop_v": 6562, - "codegen.vctz_v": 6562, - "codegen.vdiv_vv": 34209, - "codegen.vdiv_vx": 197052, - "codegen.vdivu_vv": 34305, - "codegen.vdivu_vx": 205045, - "codegen.vfadd_vf": 323156, - "codegen.vfadd_vv": 92389, - "codegen.vfclass_v": 6649, - "codegen.vfcvt_f_x_v": 6565, - "codegen.vfcvt_f_xu_v": 6559, - "codegen.vfcvt_rtz_x_f_v": 6579, - "codegen.vfcvt_rtz_xu_f_v": 6560, - "codegen.vfcvt_x_f_v": 6559, - "codegen.vfcvt_xu_f_v": 6559, - "codegen.vfdiv_vf": 668784, - "codegen.vfdiv_vv": 134005, - "codegen.vfirst_m": 1915, - "codegen.vfmacc_vf": 379509, - "codegen.vfmacc_vv": 95749, - "codegen.vfmadd_vf": 379509, - "codegen.vfmadd_vv": 95749, - "codegen.vfmax_vf": 323156, - "codegen.vfmax_vv": 92389, - "codegen.vfmerge_vfm": 185844, - "codegen.vfmin_vf": 323156, - "codegen.vfmin_vv": 92389, - "codegen.vfmsac_vf": 379509, - "codegen.vfmsac_vv": 95749, - "codegen.vfmsub_vf": 379509, - "codegen.vfmsub_vv": 95749, - "codegen.vfmul_vf": 323156, - "codegen.vfmul_vv": 92389, - "codegen.vfmv_f_s": 8091, - "codegen.vfmv_s_f": 766, - "codegen.vfmv_v_f": 2233, - "codegen.vfnmacc_vf": 379509, - "codegen.vfnmacc_vv": 95749, - "codegen.vfnmadd_vf": 379509, - "codegen.vfnmadd_vv": 95749, - "codegen.vfnmsac_vf": 379509, - "codegen.vfnmsac_vv": 95749, - "codegen.vfnmsub_vf": 379509, - "codegen.vfnmsub_vv": 95749, - "codegen.vfrdiv_vf": 668784, - "codegen.vfrec7_v": 6589, - "codegen.vfredmax_vs": 122757, - "codegen.vfredmin_vs": 122757, - "codegen.vfredosum_vs": 160996, - "codegen.vfredusum_vs": 122757, - "codegen.vfrsqrt7_v": 6568, - "codegen.vfrsub_vf": 323156, - "codegen.vfsgnj_vf": 277156, - "codegen.vfsgnj_vv": 92389, - "codegen.vfsgnjn_vf": 277156, - "codegen.vfsgnjn_vv": 92389, - "codegen.vfsgnjx_vf": 277156, - "codegen.vfsgnjx_vv": 92389, - "codegen.vfsqrt_v": 10373, - "codegen.vfsub_vf": 323156, - "codegen.vfsub_vv": 92389, - "codegen.vid_v": 17680, - "codegen.viota_m": 45144, - "codegen.vl1re16_v": 747, - "codegen.vl1re32_v": 747, - "codegen.vl1re8_v": 747, - "codegen.vl2re16_v": 781, - "codegen.vl2re32_v": 781, - "codegen.vl2re8_v": 781, - "codegen.vl4re16_v": 849, - "codegen.vl4re32_v": 849, - "codegen.vl4re8_v": 906, - "codegen.vl8re16_v": 988, - "codegen.vl8re32_v": 985, - "codegen.vl8re8_v": 985, - "codegen.vle16_v": 5670, - "codegen.vle16ff_v": 9977, - "codegen.vle32_v": 4790, - "codegen.vle32ff_v": 6758, - "codegen.vle8_v": 6536, - "codegen.vle8ff_v": 16410, - "codegen.vlm_v": 788, - "codegen.vloxei16_v": 30766, - "codegen.vloxei32_v": 18692, - "codegen.vloxei8_v": 43156, - "codegen.vloxseg2ei16_v": 28846, - "codegen.vloxseg2ei32_v": 18242, - "codegen.vloxseg2ei8_v": 35491, - "codegen.vloxseg3ei16_v": 20173, - "codegen.vloxseg3ei32_v": 13914, - "codegen.vloxseg3ei8_v": 26324, - "codegen.vloxseg4ei16_v": 22442, - "codegen.vloxseg4ei32_v": 14909, - "codegen.vloxseg4ei8_v": 30248, - "codegen.vloxseg5ei16_v": 12932, - "codegen.vloxseg5ei32_v": 7940, - "codegen.vloxseg5ei8_v": 18975, - "codegen.vloxseg6ei16_v": 13775, - "codegen.vloxseg6ei32_v": 8340, - "codegen.vloxseg6ei8_v": 20779, - "codegen.vloxseg7ei16_v": 14618, - "codegen.vloxseg7ei32_v": 8740, - "codegen.vloxseg7ei8_v": 22583, - "codegen.vloxseg8ei16_v": 15461, - "codegen.vloxseg8ei32_v": 9140, - "codegen.vloxseg8ei8_v": 24387, - "codegen.vlse16_v": 41963, - "codegen.vlse32_v": 27090, - "codegen.vlse8_v": 72846, - "codegen.vlseg2e16_v": 5039, - "codegen.vlseg2e32_v": 4056, - "codegen.vlseg2e8_v": 5991, - "codegen.vlseg3e16_v": 4223, - "codegen.vlseg3e32_v": 3072, - "codegen.vlseg3e8_v": 5377, - "codegen.vlseg4e16_v": 4351, - "codegen.vlseg4e32_v": 3161, - "codegen.vlseg4e8_v": 5531, - "codegen.vlseg5e16_v": 3408, - "codegen.vlseg5e32_v": 1979, - "codegen.vlseg5e8_v": 4927, - "codegen.vlseg6e16_v": 3470, - "codegen.vlseg6e32_v": 2021, - "codegen.vlseg6e8_v": 5018, - "codegen.vlseg7e16_v": 3532, - "codegen.vlseg7e32_v": 2062, - "codegen.vlseg7e8_v": 5124, - "codegen.vlseg8e16_v": 3590, - "codegen.vlseg8e32_v": 2101, - "codegen.vlseg8e8_v": 5213, - "codegen.vlsseg2e16_v": 37185, - "codegen.vlsseg2e32_v": 22252, - "codegen.vlsseg2e8_v": 64348, - "codegen.vlsseg3e16_v": 27427, - "codegen.vlsseg3e32_v": 14883, - "codegen.vlsseg3e8_v": 51435, - "codegen.vlsseg4e16_v": 31682, - "codegen.vlsseg4e32_v": 16453, - "codegen.vlsseg4e8_v": 62030, - "codegen.vlsseg5e16_v": 19604, - "codegen.vlsseg5e32_v": 9200, - "codegen.vlsseg5e8_v": 44162, - "codegen.vlsseg6e16_v": 21219, - "codegen.vlsseg6e32_v": 9860, - "codegen.vlsseg6e8_v": 49557, - "codegen.vlsseg7e16_v": 22834, - "codegen.vlsseg7e32_v": 10520, - "codegen.vlsseg7e8_v": 54952, - "codegen.vlsseg8e16_v": 24449, - "codegen.vlsseg8e32_v": 11180, - "codegen.vlsseg8e8_v": 60347, - "codegen.vluxei16_v": 30766, - "codegen.vluxei32_v": 18692, - "codegen.vluxei8_v": 43156, - "codegen.vluxseg2ei16_v": 28846, - "codegen.vluxseg2ei32_v": 18242, - "codegen.vluxseg2ei8_v": 35491, - "codegen.vluxseg3ei16_v": 20173, - "codegen.vluxseg3ei32_v": 13914, - "codegen.vluxseg3ei8_v": 26324, - "codegen.vluxseg4ei16_v": 22442, - "codegen.vluxseg4ei32_v": 14909, - "codegen.vluxseg4ei8_v": 30248, - "codegen.vluxseg5ei16_v": 12932, - "codegen.vluxseg5ei32_v": 7940, - "codegen.vluxseg5ei8_v": 18975, - "codegen.vluxseg6ei16_v": 13775, - "codegen.vluxseg6ei32_v": 8340, - "codegen.vluxseg6ei8_v": 20779, - "codegen.vluxseg7ei16_v": 14618, - "codegen.vluxseg7ei32_v": 8740, - "codegen.vluxseg7ei8_v": 22583, - "codegen.vluxseg8ei16_v": 15461, - "codegen.vluxseg8ei32_v": 9140, - "codegen.vluxseg8ei8_v": 24387, - "codegen.vmacc_vv": 25747, - "codegen.vmacc_vx": 77767, - "codegen.vmadc_vi": 36064, - "codegen.vmadc_vim": 38218, - "codegen.vmadc_vv": 11000, - "codegen.vmadc_vvm": 13202, - "codegen.vmadc_vx": 49936, - "codegen.vmadc_vxm": 51766, - "codegen.vmadd_vv": 25747, - "codegen.vmadd_vx": 77767, - "codegen.vmand_mm": 10038, - "codegen.vmandn_mm": 10038, - "codegen.vmax_vv": 24937, - "codegen.vmax_vx": 65387, - "codegen.vmaxu_vv": 24937, - "codegen.vmaxu_vx": 65387, - "codegen.vmerge_vim": 33608, - "codegen.vmerge_vvm": 14004, - "codegen.vmerge_vxm": 43336, - "codegen.vmfeq_vf": 559109, - "codegen.vmfeq_vv": 99636, - "codegen.vmfge_vf": 559109, - "codegen.vmfgt_vf": 559109, - "codegen.vmflt_vf": 559109, - "codegen.vmflt_vv": 99636, - "codegen.vmfne_vf": 559109, - "codegen.vmfne_vv": 99636, - "codegen.vmin_vv": 24937, - "codegen.vmin_vx": 65387, - "codegen.vminu_vv": 24937, - "codegen.vminu_vx": 65387, - "codegen.vmnand_mm": 10038, - "codegen.vmnor_mm": 10038, - "codegen.vmor_mm": 10038, - "codegen.vmorn_mm": 10038, - "codegen.vmsbc_vv": 11000, - "codegen.vmsbc_vvm": 13154, - "codegen.vmsbc_vx": 49774, - "codegen.vmsbc_vxm": 51766, - "codegen.vmsbf_m": 1762, - "codegen.vmseq_vi": 81465, - "codegen.vmseq_vv": 26638, - "codegen.vmseq_vx": 110910, - "codegen.vmsgt_vi": 81465, - "codegen.vmsgt_vv": 26518, - "codegen.vmsgt_vx": 110910, - "codegen.vmsgtu_vi": 81465, - "codegen.vmsgtu_vv": 26518, - "codegen.vmsgtu_vx": 110910, - "codegen.vmsif_m": 1762, - "codegen.vmsle_vi": 81465, - "codegen.vmsle_vv": 26638, - "codegen.vmsle_vx": 110910, - "codegen.vmsleu_vi": 81465, - "codegen.vmsleu_vv": 26638, - "codegen.vmsleu_vx": 110910, - "codegen.vmslt_vv": 26638, - "codegen.vmslt_vx": 110910, - "codegen.vmsltu_vv": 26638, - "codegen.vmsltu_vx": 110910, - "codegen.vmsne_vi": 81465, - "codegen.vmsne_vv": 26638, - "codegen.vmsne_vx": 110910, - "codegen.vmsof_m": 1762, - "codegen.vmul_vv": 25151, - "codegen.vmul_vx": 87365, - "codegen.vmulh_vv": 25151, - "codegen.vmulh_vx": 87365, - "codegen.vmulhsu_vv": 25151, - "codegen.vmulhsu_vx": 87365, - "codegen.vmulhu_vv": 25151, - "codegen.vmulhu_vx": 87365, - "codegen.vmv1r_v": 1755, - "codegen.vmv2r_v": 1861, - "codegen.vmv4r_v": 2099, - "codegen.vmv8r_v": 2581, - "codegen.vmv_s_x": 1356, - "codegen.vmv_v_i": 17850, - "codegen.vmv_v_v": 9959, - "codegen.vmv_v_x": 8280, - "codegen.vmv_x_s": 1836, - "codegen.vmxnor_mm": 10038, - "codegen.vmxor_mm": 10038, - "codegen.vnclip_wi": 157469, - "codegen.vnclip_wv": 64585, - "codegen.vnclip_wx": 202701, - "codegen.vnclipu_wi": 157469, - "codegen.vnclipu_wv": 64585, - "codegen.vnclipu_wx": 202701, - "codegen.vnmsac_vv": 25747, - "codegen.vnmsac_vx": 77767, - "codegen.vnmsub_vv": 25747, - "codegen.vnmsub_vx": 77767, - "codegen.vnsra_wi": 39707, - "codegen.vnsra_wv": 16486, - "codegen.vnsra_wx": 51015, - "codegen.vnsrl_wi": 39707, - "codegen.vnsrl_wv": 16486, - "codegen.vnsrl_wx": 51015, - "codegen.vor_vi": 51570, - "codegen.vor_vv": 24937, - "codegen.vor_vx": 65361, - "codegen.vredand_vs": 30605, - "codegen.vredmax_vs": 30605, - "codegen.vredmaxu_vs": 30605, - "codegen.vredmin_vs": 30605, - "codegen.vredminu_vs": 30605, - "codegen.vredor_vs": 30605, - "codegen.vredsum_vs": 30605, - "codegen.vredxor_vs": 30605, - "codegen.vrem_vv": 34209, - "codegen.vrem_vx": 197052, - "codegen.vremu_vv": 34305, - "codegen.vremu_vx": 205045, - "codegen.vrev8_v": 6562, - "codegen.vrgather_vi": 93882, - "codegen.vrgather_vv": 103314, - "codegen.vrgather_vx": 102302, - "codegen.vrgatherei16_vv": 76000, - "codegen.vrol_vv": 26030, - "codegen.vrol_vx": 79774, - "codegen.vror_vi": 60271, - "codegen.vror_vv": 26030, - "codegen.vror_vx": 79774, - "codegen.vrsub_vi": 51599, - "codegen.vrsub_vx": 65387, - "codegen.vs1r_v": 670, - "codegen.vs2r_v": 686, - "codegen.vs4r_v": 718, - "codegen.vs8r_v": 987, - "codegen.vsadd_vi": 51599, - "codegen.vsadd_vv": 24937, - "codegen.vsadd_vx": 65387, - "codegen.vsaddu_vi": 51599, - "codegen.vsaddu_vv": 24937, - "codegen.vsaddu_vx": 65387, - "codegen.vsbc_vvm": 12503, - "codegen.vsbc_vxm": 46493, - "codegen.vse16_v": 4547, - "codegen.vse32_v": 3829, - "codegen.vse8_v": 5265, - "codegen.vsetivli": 468, - "codegen.vsetvl": 468, - "codegen.vsetvli": 468, - "codegen.vsext_vf2": 23961, - "codegen.vsext_vf4": 4449, - "codegen.vslide1down_vx": 868128, - "codegen.vslide1up_vx": 862416, - "codegen.vslidedown_vi": 611166, - "codegen.vslidedown_vx": 863904, - "codegen.vslideup_vi": 607618, - "codegen.vslideup_vx": 861584, - "codegen.vsll_vi": 64394, - "codegen.vsll_vv": 27361, - "codegen.vsll_vx": 82829, - "codegen.vsm_v": 727, - "codegen.vsmul_vv": 99248, - "codegen.vsmul_vx": 265296, - "codegen.vsoxei16_v": 28791, - "codegen.vsoxei32_v": 17226, - "codegen.vsoxei8_v": 41216, - "codegen.vsoxseg2ei16_v": 27233, - "codegen.vsoxseg2ei32_v": 16910, - "codegen.vsoxseg2ei8_v": 33928, - "codegen.vsoxseg3ei16_v": 19123, - "codegen.vsoxseg3ei32_v": 12897, - "codegen.vsoxseg3ei8_v": 25426, - "codegen.vsoxseg4ei16_v": 21401, - "codegen.vsoxseg4ei32_v": 13886, - "codegen.vsoxseg4ei8_v": 29390, - "codegen.vsoxseg5ei16_v": 12654, - "codegen.vsoxseg5ei32_v": 7439, - "codegen.vsoxseg5ei8_v": 19055, - "codegen.vsoxseg6ei16_v": 13497, - "codegen.vsoxseg6ei32_v": 7839, - "codegen.vsoxseg6ei8_v": 20859, - "codegen.vsoxseg7ei16_v": 14340, - "codegen.vsoxseg7ei32_v": 8239, - "codegen.vsoxseg7ei8_v": 22663, - "codegen.vsoxseg8ei16_v": 15183, - "codegen.vsoxseg8ei32_v": 8639, - "codegen.vsoxseg8ei8_v": 24467, - "codegen.vsra_vi": 64394, - "codegen.vsra_vv": 27361, - "codegen.vsra_vx": 82829, - "codegen.vsrl_vi": 64394, - "codegen.vsrl_vv": 27361, - "codegen.vsrl_vx": 82829, - "codegen.vsse16_v": 50200, - "codegen.vsse32_v": 35880, - "codegen.vsse8_v": 77884, - "codegen.vsseg2e16_v": 4124, - "codegen.vsseg2e32_v": 3318, - "codegen.vsseg2e8_v": 4930, - "codegen.vsseg3e16_v": 3479, - "codegen.vsseg3e32_v": 2545, - "codegen.vsseg3e8_v": 4413, - "codegen.vsseg4e16_v": 3654, - "codegen.vsseg4e32_v": 2672, - "codegen.vsseg4e8_v": 4636, - "codegen.vsseg5e16_v": 2832, - "codegen.vsseg5e32_v": 1642, - "codegen.vsseg5e8_v": 4022, - "codegen.vsseg6e16_v": 2928, - "codegen.vsseg6e32_v": 1690, - "codegen.vsseg6e8_v": 4166, - "codegen.vsseg7e16_v": 3024, - "codegen.vsseg7e32_v": 1738, - "codegen.vsseg7e8_v": 4310, - "codegen.vsseg8e16_v": 3120, - "codegen.vsseg8e32_v": 1786, - "codegen.vsseg8e8_v": 4454, - "codegen.vssra_vi": 256217, - "codegen.vssra_vv": 108088, - "codegen.vssra_vx": 491072, - "codegen.vssrl_vi": 256217, - "codegen.vssrl_vv": 108088, - "codegen.vssrl_vx": 491072, - "codegen.vssseg2e16_v": 44252, - "codegen.vssseg2e32_v": 29628, - "codegen.vssseg2e8_v": 69020, - "codegen.vssseg3e16_v": 34464, - "codegen.vssseg3e32_v": 20968, - "codegen.vssseg3e8_v": 57464, - "codegen.vssseg4e16_v": 37908, - "codegen.vssseg4e32_v": 22276, - "codegen.vssseg4e8_v": 66020, - "codegen.vssseg5e16_v": 26368, - "codegen.vssseg5e32_v": 12836, - "codegen.vssseg5e8_v": 51504, - "codegen.vssseg6e16_v": 27660, - "codegen.vssseg6e32_v": 13364, - "codegen.vssseg6e8_v": 55820, - "codegen.vssseg7e16_v": 28952, - "codegen.vssseg7e32_v": 13892, - "codegen.vssseg7e8_v": 60136, - "codegen.vssseg8e16_v": 30244, - "codegen.vssseg8e32_v": 14420, - "codegen.vssseg8e8_v": 64452, - "codegen.vssub_vv": 24937, - "codegen.vssub_vx": 95633, - "codegen.vssubu_vv": 24937, - "codegen.vssubu_vx": 95633, - "codegen.vsub_vv": 24937, - "codegen.vsub_vx": 95633, - "codegen.vsuxei16_v": 28791, - "codegen.vsuxei32_v": 17226, - "codegen.vsuxei8_v": 41216, - "codegen.vsuxseg2ei16_v": 27233, - "codegen.vsuxseg2ei32_v": 16910, - "codegen.vsuxseg2ei8_v": 33928, - "codegen.vsuxseg3ei16_v": 19123, - "codegen.vsuxseg3ei32_v": 12897, - "codegen.vsuxseg3ei8_v": 25426, - "codegen.vsuxseg4ei16_v": 21401, - "codegen.vsuxseg4ei32_v": 13886, - "codegen.vsuxseg4ei8_v": 29390, - "codegen.vsuxseg5ei16_v": 12654, - "codegen.vsuxseg5ei32_v": 7439, - "codegen.vsuxseg5ei8_v": 19055, - "codegen.vsuxseg6ei16_v": 13497, - "codegen.vsuxseg6ei32_v": 7839, - "codegen.vsuxseg6ei8_v": 20859, - "codegen.vsuxseg7ei16_v": 14340, - "codegen.vsuxseg7ei32_v": 8239, - "codegen.vsuxseg7ei8_v": 22663, - "codegen.vsuxseg8ei16_v": 15183, - "codegen.vsuxseg8ei32_v": 8639, - "codegen.vsuxseg8ei8_v": 24467, - "codegen.vwadd_vv": 14875, - "codegen.vwadd_vx": 40655, - "codegen.vwadd_wv": 16201, - "codegen.vwadd_wx": 47507, - "codegen.vwaddu_vv": 14875, - "codegen.vwaddu_vx": 40655, - "codegen.vwaddu_wv": 16201, - "codegen.vwaddu_wx": 47507, - "codegen.vwmacc_vv": 15943, - "codegen.vwmacc_vx": 58343, - "codegen.vwmaccsu_vv": 15943, - "codegen.vwmaccsu_vx": 58343, - "codegen.vwmaccu_vv": 15943, - "codegen.vwmaccu_vx": 58343, - "codegen.vwmaccus_vx": 58343, - "codegen.vwmul_vv": 15019, - "codegen.vwmul_vx": 54415, - "codegen.vwmulsu_vv": 15019, - "codegen.vwmulsu_vx": 54415, - "codegen.vwmulu_vv": 15019, - "codegen.vwmulu_vx": 54415, - "codegen.vwredsum_vs": 18225, - "codegen.vwredsumu_vs": 18225, - "codegen.vwsll_vi": 34918, - "codegen.vwsll_vv": 15336, - "codegen.vwsll_vx": 48230, - "codegen.vwsub_vv": 14875, - "codegen.vwsub_vx": 40655, - "codegen.vwsub_wv": 16201, - "codegen.vwsub_wx": 47507, - "codegen.vwsubu_vv": 14875, - "codegen.vwsubu_vx": 40655, - "codegen.vwsubu_wv": 16201, - "codegen.vwsubu_wx": 47507, - "codegen.vxor_vi": 51570, - "codegen.vxor_vv": 24937, - "codegen.vxor_vx": 65361, - "codegen.vzext_vf2": 23961, - "codegen.vzext_vf4": 4449, - "intrinsic.conv2d_less_m2": 2647, - "intrinsic.linear_normalization": 3333, - "intrinsic.softmax": 8400, - "mlir.hello": 145, - "mlir.rvv_vp_intrinsic_add": 435, - "mlir.rvv_vp_intrinsic_add_scalable": 583, - "mlir.stripmining": 26790, - "rvv_bench.ascii_to_utf16": 39155, - "rvv_bench.ascii_to_utf32": 11277, - "rvv_bench.byteswap": 114251, - "rvv_bench.chacha20": 3, - "rvv_bench.mandelbrot": 257255, - "rvv_bench.memcpy": 43841, - "rvv_bench.memset": 14569, - "rvv_bench.mergelines": 89486, - "rvv_bench.poly1305": 3, - "rvv_bench.strlen": 30374, - "rvv_bench.utf8_count": 204907 -} \ No newline at end of file diff --git a/.github/cases/psyduck/perf.json b/.github/cases/psyduck/perf.json deleted file mode 100644 index 3527224f9..000000000 --- a/.github/cases/psyduck/perf.json +++ /dev/null @@ -1,9 +0,0 @@ -{ - "mlir.conv": 0, - "mlir.matmul": 0, - "mlir.stripmining": 0, - "intrinsic.conv2d_less_m2": 0, - "intrinsic.linear_normalization": 0, - "intrinsic.matmul": 0, - "intrinsic.softmax": 0 -} diff --git a/.github/cases/sandslash/default.json b/.github/cases/sandslash/default.json deleted file mode 100644 index 6a555068a..000000000 --- a/.github/cases/sandslash/default.json +++ /dev/null @@ -1,448 +0,0 @@ -{ - "mlir.rvv_vp_intrinsic_add": 428, - "mlir.rvv_vp_intrinsic_add_scalable": 650, - "mlir.hello": 115, - "mlir.stripmining": 3598, - "asm.mmm": 91448, - "asm.smoke": 3581, - "intrinsic.conv2d_less_m2": 2597, - "codegen.vaadd_vv": 125559, - "codegen.vaadd_vx": 342898, - "codegen.vaaddu_vv": 125559, - "codegen.vaaddu_vx": 342898, - "codegen.vadc_vim": 32166, - "codegen.vadc_vvm": 15837, - "codegen.vadc_vxm": 41144, - "codegen.vadd_vi": 67050, - "codegen.vadd_vv": 31992, - "codegen.vadd_vx": 86326, - "codegen.vand_vi": 67225, - "codegen.vand_vv": 31992, - "codegen.vand_vx": 86474, - "codegen.vasub_vv": 125559, - "codegen.vasub_vx": 342898, - "codegen.vasubu_vv": 125559, - "codegen.vasubu_vx": 342898, - "codegen.vcompress_vm": 97725, - "codegen.vcpop_m": 2806, - "codegen.vdiv_vv": 49633, - "codegen.vdiv_vx": 344558, - "codegen.vdivu_vv": 49886, - "codegen.vdivu_vx": 360739, - "codegen.vfirst_m": 2334, - "codegen.vid_v": 23785, - "codegen.viota_m": 196317, - "codegen.vl1re16_v": 1133, - "codegen.vl1re32_v": 1133, - "codegen.vl1re8_v": 1133, - "codegen.vl2re16_v": 1201, - "codegen.vl2re32_v": 1201, - "codegen.vl2re8_v": 1201, - "codegen.vl4re16_v": 1337, - "codegen.vl4re32_v": 1337, - "codegen.vl4re8_v": 1393, - "codegen.vl8re16_v": 1619, - "codegen.vl8re32_v": 1609, - "codegen.vl8re8_v": 1609, - "codegen.vle16_v": 7522, - "codegen.vle16ff_v": 41515, - "codegen.vle32_v": 6529, - "codegen.vle32ff_v": 22328, - "codegen.vle8_v": 8474, - "codegen.vle8ff_v": 76128, - "codegen.vlm_v": 1506, - "codegen.vloxei16_v": 102507, - "codegen.vloxei32_v": 48393, - "codegen.vloxei8_v": 167800, - "codegen.vloxseg2ei16_v": 110157, - "codegen.vloxseg2ei32_v": 57584, - "codegen.vloxseg2ei8_v": 159686, - "codegen.vloxseg3ei16_v": 82350, - "codegen.vloxseg3ei32_v": 44377, - "codegen.vloxseg3ei8_v": 113469, - "codegen.vloxseg4ei16_v": 104077, - "codegen.vloxseg4ei32_v": 54220, - "codegen.vloxseg4ei8_v": 145397, - "codegen.vloxseg5ei16_v": 57782, - "codegen.vloxseg5ei32_v": 25646, - "codegen.vloxseg5ei8_v": 90844, - "codegen.vloxseg6ei16_v": 66883, - "codegen.vloxseg6ei32_v": 29056, - "codegen.vloxseg6ei8_v": 106259, - "codegen.vloxseg7ei16_v": 76009, - "codegen.vloxseg7ei32_v": 32475, - "codegen.vloxseg7ei8_v": 121716, - "codegen.vloxseg8ei16_v": 85110, - "codegen.vloxseg8ei32_v": 35885, - "codegen.vloxseg8ei8_v": 137131, - "codegen.vlse16_v": 197483, - "codegen.vlse32_v": 102928, - "codegen.vlse8_v": 369172, - "codegen.vlseg2e16_v": 6931, - "codegen.vlseg2e32_v": 5788, - "codegen.vlseg2e8_v": 8086, - "codegen.vlseg3e16_v": 6079, - "codegen.vlseg3e32_v": 4554, - "codegen.vlseg3e8_v": 7517, - "codegen.vlseg4e16_v": 6381, - "codegen.vlseg4e32_v": 4722, - "codegen.vlseg4e8_v": 7865, - "codegen.vlseg5e16_v": 5282, - "codegen.vlseg5e32_v": 3045, - "codegen.vlseg5e8_v": 7438, - "codegen.vlseg6e16_v": 5432, - "codegen.vlseg6e32_v": 3109, - "codegen.vlseg6e8_v": 7639, - "codegen.vlseg7e16_v": 5580, - "codegen.vlseg7e32_v": 3173, - "codegen.vlseg7e8_v": 7839, - "codegen.vlseg8e16_v": 5731, - "codegen.vlseg8e32_v": 3237, - "codegen.vlseg8e8_v": 8041, - "codegen.vlsseg2e16_v": 184819, - "codegen.vlsseg2e32_v": 97614, - "codegen.vlsseg2e8_v": 374773, - "codegen.vlsseg3e16_v": 142689, - "codegen.vlsseg3e32_v": 62585, - "codegen.vlsseg3e8_v": 283734, - "codegen.vlsseg4e16_v": 183630, - "codegen.vlsseg4e32_v": 78470, - "codegen.vlsseg4e8_v": 371218, - "codegen.vlsseg5e16_v": 105411, - "codegen.vlsseg5e32_v": 37177, - "codegen.vlsseg5e8_v": 259240, - "codegen.vlsseg6e16_v": 122921, - "codegen.vlsseg6e32_v": 42787, - "codegen.vlsseg6e8_v": 305594, - "codegen.vlsseg7e16_v": 140486, - "codegen.vlsseg7e32_v": 48397, - "codegen.vlsseg7e8_v": 352090, - "codegen.vlsseg8e16_v": 157996, - "codegen.vlsseg8e32_v": 54007, - "codegen.vlsseg8e8_v": 398445, - "codegen.vluxei16_v": 102507, - "codegen.vluxei32_v": 48393, - "codegen.vluxei8_v": 167800, - "codegen.vluxseg2ei16_v": 110157, - "codegen.vluxseg2ei32_v": 57584, - "codegen.vluxseg2ei8_v": 159686, - "codegen.vluxseg3ei16_v": 82350, - "codegen.vluxseg3ei32_v": 44377, - "codegen.vluxseg3ei8_v": 113469, - "codegen.vluxseg4ei16_v": 104077, - "codegen.vluxseg4ei32_v": 54220, - "codegen.vluxseg4ei8_v": 145397, - "codegen.vluxseg5ei16_v": 57782, - "codegen.vluxseg5ei32_v": 25646, - "codegen.vluxseg5ei8_v": 90844, - "codegen.vluxseg6ei16_v": 66883, - "codegen.vluxseg6ei32_v": 29056, - "codegen.vluxseg6ei8_v": 106259, - "codegen.vluxseg7ei16_v": 76009, - "codegen.vluxseg7ei32_v": 32475, - "codegen.vluxseg7ei8_v": 121716, - "codegen.vluxseg8ei16_v": 85110, - "codegen.vluxseg8ei32_v": 35885, - "codegen.vluxseg8ei8_v": 137131, - "codegen.vmacc_vv": 33181, - "codegen.vmacc_vx": 97956, - "codegen.vmadc_vi": 57251, - "codegen.vmadc_vim": 59675, - "codegen.vmadc_vv": 16586, - "codegen.vmadc_vvm": 19058, - "codegen.vmadc_vx": 79316, - "codegen.vmadc_vxm": 81416, - "codegen.vmadd_vv": 33181, - "codegen.vmadd_vx": 97956, - "codegen.vmand_mm": 13213, - "codegen.vmandn_mm": 13213, - "codegen.vmax_vv": 31992, - "codegen.vmax_vx": 86326, - "codegen.vmaxu_vv": 31992, - "codegen.vmaxu_vx": 86326, - "codegen.vmerge_vim": 50393, - "codegen.vmerge_vvm": 18886, - "codegen.vmerge_vxm": 66548, - "codegen.vmin_vv": 31992, - "codegen.vmin_vx": 86326, - "codegen.vminu_vv": 31992, - "codegen.vminu_vx": 86326, - "codegen.vmnand_mm": 13213, - "codegen.vmnor_mm": 13213, - "codegen.vmor_mm": 13213, - "codegen.vmorn_mm": 13213, - "codegen.vmsbc_vv": 16586, - "codegen.vmsbc_vvm": 19010, - "codegen.vmsbc_vx": 79154, - "codegen.vmsbc_vxm": 81416, - "codegen.vmsbf_m": 2230, - "codegen.vmseq_vi": 167266, - "codegen.vmseq_vv": 44158, - "codegen.vmseq_vx": 231637, - "codegen.vmsgt_vi": 167266, - "codegen.vmsgt_vv": 44109, - "codegen.vmsgt_vx": 231637, - "codegen.vmsgtu_vi": 167266, - "codegen.vmsgtu_vv": 44109, - "codegen.vmsgtu_vx": 231637, - "codegen.vmsif_m": 2230, - "codegen.vmsle_vi": 167266, - "codegen.vmsle_vv": 44158, - "codegen.vmsle_vx": 231637, - "codegen.vmsleu_vi": 167266, - "codegen.vmsleu_vv": 44158, - "codegen.vmsleu_vx": 231637, - "codegen.vmslt_vv": 44158, - "codegen.vmslt_vx": 231637, - "codegen.vmsltu_vv": 44158, - "codegen.vmsltu_vx": 231637, - "codegen.vmsne_vi": 167266, - "codegen.vmsne_vv": 44158, - "codegen.vmsne_vx": 231637, - "codegen.vmsof_m": 2230, - "codegen.vmul_vv": 32377, - "codegen.vmul_vx": 116506, - "codegen.vmulh_vv": 32377, - "codegen.vmulh_vx": 116506, - "codegen.vmulhsu_vv": 32377, - "codegen.vmulhsu_vx": 116506, - "codegen.vmulhu_vv": 32377, - "codegen.vmulhu_vx": 116506, - "codegen.vmv_s_x": 1741, - "codegen.vmv_v_i": 27467, - "codegen.vmv_v_v": 13837, - "codegen.vmv_v_x": 11282, - "codegen.vmv_x_s": 2293, - "codegen.vmv1r_v": 2213, - "codegen.vmv2r_v": 2451, - "codegen.vmv4r_v": 3137, - "codegen.vmv8r_v": 4378, - "codegen.vmxnor_mm": 13213, - "codegen.vmxor_mm": 13213, - "codegen.vnclip_wi": 220694, - "codegen.vnclip_wv": 82323, - "codegen.vnclip_wx": 291346, - "codegen.vnclipu_wi": 220694, - "codegen.vnclipu_wv": 82323, - "codegen.vnclipu_wx": 291346, - "codegen.vnmsac_vv": 33181, - "codegen.vnmsac_vx": 97956, - "codegen.vnmsub_vv": 33181, - "codegen.vnmsub_vx": 97956, - "codegen.vnsra_wi": 55775, - "codegen.vnsra_wv": 21183, - "codegen.vnsra_wx": 73438, - "codegen.vnsrl_wi": 55775, - "codegen.vnsrl_wv": 21183, - "codegen.vnsrl_wx": 73438, - "codegen.vor_vi": 67225, - "codegen.vor_vv": 31992, - "codegen.vor_vx": 86474, - "codegen.vredand_vs": 45586, - "codegen.vredmax_vs": 45586, - "codegen.vredmaxu_vs": 45586, - "codegen.vredmin_vs": 45586, - "codegen.vredminu_vs": 45586, - "codegen.vredor_vs": 45586, - "codegen.vredsum_vs": 45586, - "codegen.vredxor_vs": 45586, - "codegen.vrem_vv": 49633, - "codegen.vrem_vx": 344558, - "codegen.vremu_vv": 49886, - "codegen.vremu_vx": 360739, - "codegen.vrgather_vi": 134313, - "codegen.vrgather_vv": 637731, - "codegen.vrgather_vx": 156270, - "codegen.vrgatherei16_vv": 467092, - "codegen.vrsub_vi": 67050, - "codegen.vrsub_vx": 86326, - "codegen.vs1r_v": 1038, - "codegen.vs2r_v": 1070, - "codegen.vs4r_v": 1177, - "codegen.vs8r_v": 1624, - "codegen.vsadd_vi": 67050, - "codegen.vsadd_vv": 31992, - "codegen.vsadd_vx": 86326, - "codegen.vsaddu_vi": 67050, - "codegen.vsaddu_vv": 31992, - "codegen.vsaddu_vx": 86326, - "codegen.vsbc_vvm": 15837, - "codegen.vsbc_vxm": 60276, - "codegen.vse16_v": 6057, - "codegen.vse32_v": 5243, - "codegen.vse8_v": 6871, - "codegen.vsetivli": 820, - "codegen.vsetvl": 820, - "codegen.vsetvli": 820, - "codegen.vsext_vf2": 135647, - "codegen.vsext_vf4": 20033, - "codegen.vslide1down_vx": 6153515, - "codegen.vslide1up_vx": 6147849, - "codegen.vslidedown_vi": 4312523, - "codegen.vslidedown_vx": 6150308, - "codegen.vslideup_vi": 4309086, - "codegen.vslideup_vx": 6147024, - "codegen.vsll_vi": 95583, - "codegen.vsll_vv": 36935, - "codegen.vsll_vx": 125888, - "codegen.vsm_v": 1427, - "codegen.vsmul_vv": 127099, - "codegen.vsmul_vx": 351610, - "codegen.vsoxei16_v": 101439, - "codegen.vsoxei32_v": 47453, - "codegen.vsoxei8_v": 167037, - "codegen.vsoxseg2ei16_v": 109112, - "codegen.vsoxseg2ei32_v": 56521, - "codegen.vsoxseg2ei8_v": 158927, - "codegen.vsoxseg3ei16_v": 81782, - "codegen.vsoxseg3ei32_v": 43461, - "codegen.vsoxseg3ei8_v": 113326, - "codegen.vsoxseg4ei16_v": 103043, - "codegen.vsoxseg4ei32_v": 53010, - "codegen.vsoxseg4ei8_v": 144643, - "codegen.vsoxseg5ei16_v": 57777, - "codegen.vsoxseg5ei32_v": 24979, - "codegen.vsoxseg5ei8_v": 91447, - "codegen.vsoxseg6ei16_v": 66796, - "codegen.vsoxseg6ei32_v": 28347, - "codegen.vsoxseg6ei8_v": 106755, - "codegen.vsoxseg7ei16_v": 75815, - "codegen.vsoxseg7ei32_v": 31715, - "codegen.vsoxseg7ei8_v": 122063, - "codegen.vsoxseg8ei16_v": 84834, - "codegen.vsoxseg8ei32_v": 35083, - "codegen.vsoxseg8ei8_v": 137371, - "codegen.vsra_vi": 95583, - "codegen.vsra_vv": 36935, - "codegen.vsra_vx": 125888, - "codegen.vsrl_vi": 95583, - "codegen.vsrl_vv": 36935, - "codegen.vsrl_vx": 125888, - "codegen.vsse16_v": 182494, - "codegen.vsse32_v": 103582, - "codegen.vsse8_v": 323930, - "codegen.vsseg2e16_v": 5527, - "codegen.vsseg2e32_v": 4561, - "codegen.vsseg2e8_v": 6515, - "codegen.vsseg3e16_v": 4817, - "codegen.vsseg3e32_v": 3635, - "codegen.vsseg3e8_v": 6023, - "codegen.vsseg4e16_v": 5088, - "codegen.vsseg4e32_v": 3826, - "codegen.vsseg4e8_v": 6374, - "codegen.vsseg5e16_v": 4115, - "codegen.vsseg5e32_v": 2441, - "codegen.vsseg5e8_v": 5777, - "codegen.vsseg6e16_v": 4329, - "codegen.vsseg6e32_v": 2575, - "codegen.vsseg6e8_v": 6089, - "codegen.vsseg7e16_v": 4488, - "codegen.vsseg7e32_v": 2654, - "codegen.vsseg7e8_v": 6328, - "codegen.vsseg8e16_v": 4595, - "codegen.vsseg8e32_v": 2705, - "codegen.vsseg8e8_v": 6521, - "codegen.vssra_vi": 379923, - "codegen.vssra_vv": 145331, - "codegen.vssra_vx": 754907, - "codegen.vssrl_vi": 379923, - "codegen.vssrl_vv": 145331, - "codegen.vssrl_vx": 754907, - "codegen.vssseg2e16_v": 169818, - "codegen.vssseg2e32_v": 96342, - "codegen.vssseg2e8_v": 326214, - "codegen.vssseg3e16_v": 133930, - "codegen.vssseg3e32_v": 64534, - "codegen.vssseg3e8_v": 252550, - "codegen.vssseg4e16_v": 166254, - "codegen.vssseg4e32_v": 77258, - "codegen.vssseg4e8_v": 320562, - "codegen.vssseg5e16_v": 101702, - "codegen.vssseg5e32_v": 38782, - "codegen.vssseg5e8_v": 232282, - "codegen.vssseg6e16_v": 115618, - "codegen.vssseg6e32_v": 43270, - "codegen.vssseg6e8_v": 269014, - "codegen.vssseg7e16_v": 129534, - "codegen.vssseg7e32_v": 47758, - "codegen.vssseg7e8_v": 305746, - "codegen.vssseg8e16_v": 143450, - "codegen.vssseg8e32_v": 52246, - "codegen.vssseg8e8_v": 342478, - "codegen.vssub_vv": 31992, - "codegen.vssub_vx": 127165, - "codegen.vssubu_vv": 31992, - "codegen.vssubu_vx": 127165, - "codegen.vsub_vv": 31992, - "codegen.vsub_vx": 127165, - "codegen.vsuxei16_v": 101439, - "codegen.vsuxei32_v": 47453, - "codegen.vsuxei8_v": 167037, - "codegen.vsuxseg2ei16_v": 109112, - "codegen.vsuxseg2ei32_v": 56521, - "codegen.vsuxseg2ei8_v": 158927, - "codegen.vsuxseg3ei16_v": 81782, - "codegen.vsuxseg3ei32_v": 43461, - "codegen.vsuxseg3ei8_v": 113326, - "codegen.vsuxseg4ei16_v": 103043, - "codegen.vsuxseg4ei32_v": 53010, - "codegen.vsuxseg4ei8_v": 144643, - "codegen.vsuxseg5ei16_v": 57777, - "codegen.vsuxseg5ei32_v": 24979, - "codegen.vsuxseg5ei8_v": 91447, - "codegen.vsuxseg6ei16_v": 66796, - "codegen.vsuxseg6ei32_v": 28347, - "codegen.vsuxseg6ei8_v": 106755, - "codegen.vsuxseg7ei16_v": 75815, - "codegen.vsuxseg7ei32_v": 31715, - "codegen.vsuxseg7ei8_v": 122063, - "codegen.vsuxseg8ei16_v": 84834, - "codegen.vsuxseg8ei32_v": 35083, - "codegen.vsuxseg8ei8_v": 137371, - "codegen.vwadd_vv": 18915, - "codegen.vwadd_vx": 54911, - "codegen.vwadd_wv": 20869, - "codegen.vwadd_wx": 64084, - "codegen.vwaddu_vv": 18915, - "codegen.vwaddu_vx": 54911, - "codegen.vwaddu_wv": 20869, - "codegen.vwaddu_wx": 64084, - "codegen.vwmacc_vv": 20355, - "codegen.vwmacc_vx": 73662, - "codegen.vwmaccsu_vv": 20355, - "codegen.vwmaccsu_vx": 73662, - "codegen.vwmaccu_vv": 20355, - "codegen.vwmaccu_vx": 73662, - "codegen.vwmaccus_vx": 73662, - "codegen.vwmul_vv": 19082, - "codegen.vwmul_vx": 73102, - "codegen.vwmulsu_vv": 19082, - "codegen.vwmulsu_vx": 73102, - "codegen.vwmulu_vv": 19082, - "codegen.vwmulu_vx": 73102, - "codegen.vwredsum_vs": 27250, - "codegen.vwredsumu_vs": 27250, - "codegen.vwsub_vv": 18915, - "codegen.vwsub_vx": 54911, - "codegen.vwsub_wv": 20869, - "codegen.vwsub_wx": 64084, - "codegen.vwsubu_vv": 18915, - "codegen.vwsubu_vx": 54911, - "codegen.vwsubu_wv": 20869, - "codegen.vwsubu_wx": 64084, - "codegen.vxor_vi": 67225, - "codegen.vxor_vv": 31992, - "codegen.vxor_vx": 86474, - "codegen.vzext_vf2": 135647, - "codegen.vzext_vf4": 20033, - "rvv_bench.ascii_to_utf16": 31642, - "rvv_bench.ascii_to_utf32": 9368, - "rvv_bench.byteswap": 102376, - "rvv_bench.chacha20": 3, - "rvv_bench.memcpy": 32155, - "rvv_bench.memset": 5188, - "rvv_bench.mergelines": 103067, - "rvv_bench.poly1305": 3, - "rvv_bench.strlen": 19386, - "rvv_bench.utf8_count": 154750 -} \ No newline at end of file diff --git a/.github/cases/sandslash/perf.json b/.github/cases/sandslash/perf.json deleted file mode 100644 index a63d11557..000000000 --- a/.github/cases/sandslash/perf.json +++ /dev/null @@ -1,5 +0,0 @@ -{ - "mlir.conv": 0, - "mlir.matmul": 0, - "mlir.stripmining": 15253 -} \ No newline at end of file diff --git a/.github/cases/blastoise/default.json b/.github/designs/blastoise/default.json similarity index 99% rename from .github/cases/blastoise/default.json rename to .github/designs/blastoise/default.json index eb0890659..d508dcf3e 100644 --- a/.github/cases/blastoise/default.json +++ b/.github/designs/blastoise/default.json @@ -2,7 +2,6 @@ "pytorch.demo": 76, "pytorch.lenet": 394687, "pytorch.matmul": 14497, - "pytorch.mobilenet": 15139469, "mlir.rvv_vp_intrinsic_add": 435, "mlir.rvv_vp_intrinsic_add_scalable": 583, "mlir.hello": 145, @@ -514,4 +513,5 @@ "rvv_bench.poly1305": 3, "rvv_bench.strlen": 30374, "rvv_bench.utf8_count": 204907 -} \ No newline at end of file +} + diff --git a/.github/t1rocket-cases/t1rocket/default.json b/.github/t1rocket-cases/t1rocket/default.json deleted file mode 100644 index c2e69d073..000000000 --- a/.github/t1rocket-cases/t1rocket/default.json +++ /dev/null @@ -1,514 +0,0 @@ -{ - "pytorch.demo": 31505, - "mlir.rvv_vp_intrinsic_add": 468, - "mlir.rvv_vp_intrinsic_add_scalable": 696, - "mlir.hello": 140, - "mlir.stripmining": 14002, - "asm.mmm": 94317, - "asm.smoke": 8222, - "intrinsic.conv2d_less_m2": 2499, - "intrinsic.linear_normalization": 3032, - "intrinsic.softmax": 6759, - "codegen.vaadd_vv": 129361, - "codegen.vaadd_vx": 395244, - "codegen.vaaddu_vv": 129361, - "codegen.vaaddu_vx": 395244, - "codegen.vadc_vim": 35026, - "codegen.vadc_vvm": 16122, - "codegen.vadc_vxm": 48644, - "codegen.vadd_vi": 70615, - "codegen.vadd_vv": 32444, - "codegen.vadd_vx": 98805, - "codegen.vand_vi": 70607, - "codegen.vand_vv": 32444, - "codegen.vand_vx": 98797, - "codegen.vasub_vv": 129361, - "codegen.vasub_vx": 395244, - "codegen.vasubu_vv": 129361, - "codegen.vasubu_vx": 395244, - "codegen.vcompress_vm": 33930, - "codegen.vcpop_m": 2828, - "codegen.vdiv_vv": 44882, - "codegen.vdiv_vx": 336306, - "codegen.vdivu_vv": 45140, - "codegen.vdivu_vx": 353299, - "codegen.vfirst_m": 2740, - "codegen.vid_v": 21462, - "codegen.viota_m": 63311, - "codegen.vl1re16_v": 1026, - "codegen.vl1re32_v": 1026, - "codegen.vl1re8_v": 1026, - "codegen.vl2re16_v": 1083, - "codegen.vl2re32_v": 1083, - "codegen.vl2re8_v": 1083, - "codegen.vl4re16_v": 1211, - "codegen.vl4re32_v": 1211, - "codegen.vl4re8_v": 1399, - "codegen.vl8re16_v": 1467, - "codegen.vl8re32_v": 1467, - "codegen.vl8re8_v": 1467, - "codegen.vle16_v": 7354, - "codegen.vle16ff_v": 14591, - "codegen.vle32_v": 5950, - "codegen.vle32ff_v": 8584, - "codegen.vle8_v": 8762, - "codegen.vle8ff_v": 24479, - "codegen.vlm_v": 1153, - "codegen.vloxei16_v": 42467, - "codegen.vloxei32_v": 23546, - "codegen.vloxei8_v": 60387, - "codegen.vloxseg2ei16_v": 40085, - "codegen.vloxseg2ei32_v": 23562, - "codegen.vloxseg2ei8_v": 53130, - "codegen.vloxseg3ei16_v": 28820, - "codegen.vloxseg3ei32_v": 17357, - "codegen.vloxseg3ei8_v": 37486, - "codegen.vloxseg4ei16_v": 34603, - "codegen.vloxseg4ei32_v": 19829, - "codegen.vloxseg4ei8_v": 45999, - "codegen.vloxseg5ei16_v": 20506, - "codegen.vloxseg5ei32_v": 8584, - "codegen.vloxseg5ei8_v": 28458, - "codegen.vloxseg6ei16_v": 23122, - "codegen.vloxseg6ei32_v": 9257, - "codegen.vloxseg6ei8_v": 32517, - "codegen.vloxseg7ei16_v": 25738, - "codegen.vloxseg7ei32_v": 9930, - "codegen.vloxseg7ei8_v": 36576, - "codegen.vloxseg8ei16_v": 28354, - "codegen.vloxseg8ei32_v": 10603, - "codegen.vloxseg8ei8_v": 40635, - "codegen.vlse16_v": 67246, - "codegen.vlse32_v": 37673, - "codegen.vlse8_v": 116635, - "codegen.vlseg2e16_v": 5971, - "codegen.vlseg2e32_v": 4562, - "codegen.vlseg2e8_v": 7375, - "codegen.vlseg3e16_v": 4563, - "codegen.vlseg3e32_v": 3164, - "codegen.vlseg3e8_v": 5993, - "codegen.vlseg4e16_v": 4582, - "codegen.vlseg4e32_v": 3202, - "codegen.vlseg4e8_v": 6007, - "codegen.vlseg5e16_v": 3176, - "codegen.vlseg5e32_v": 1997, - "codegen.vlseg5e8_v": 4581, - "codegen.vlseg6e16_v": 3290, - "codegen.vlseg6e32_v": 2047, - "codegen.vlseg6e8_v": 4584, - "codegen.vlseg7e16_v": 3404, - "codegen.vlseg7e32_v": 2097, - "codegen.vlseg7e8_v": 4608, - "codegen.vlseg8e16_v": 3502, - "codegen.vlseg8e32_v": 2145, - "codegen.vlseg8e8_v": 4634, - "codegen.vlsseg2e16_v": 57803, - "codegen.vlsseg2e32_v": 31718, - "codegen.vlsseg2e8_v": 112861, - "codegen.vlsseg3e16_v": 44293, - "codegen.vlsseg3e32_v": 20316, - "codegen.vlsseg3e8_v": 84284, - "codegen.vlsseg4e16_v": 55210, - "codegen.vlsseg4e32_v": 24306, - "codegen.vlsseg4e8_v": 106923, - "codegen.vlsseg5e16_v": 34641, - "codegen.vlsseg5e32_v": 10554, - "codegen.vlsseg5e8_v": 74470, - "codegen.vlsseg6e16_v": 39681, - "codegen.vlsseg6e32_v": 11669, - "codegen.vlsseg6e8_v": 86510, - "codegen.vlsseg7e16_v": 44721, - "codegen.vlsseg7e32_v": 12784, - "codegen.vlsseg7e8_v": 98550, - "codegen.vlsseg8e16_v": 49761, - "codegen.vlsseg8e32_v": 13899, - "codegen.vlsseg8e8_v": 110590, - "codegen.vluxei16_v": 42467, - "codegen.vluxei32_v": 23546, - "codegen.vluxei8_v": 60387, - "codegen.vluxseg2ei16_v": 40085, - "codegen.vluxseg2ei32_v": 23562, - "codegen.vluxseg2ei8_v": 53130, - "codegen.vluxseg3ei16_v": 28820, - "codegen.vluxseg3ei32_v": 17357, - "codegen.vluxseg3ei8_v": 37486, - "codegen.vluxseg4ei16_v": 34603, - "codegen.vluxseg4ei32_v": 19829, - "codegen.vluxseg4ei8_v": 45999, - "codegen.vluxseg5ei16_v": 20506, - "codegen.vluxseg5ei32_v": 8584, - "codegen.vluxseg5ei8_v": 28458, - "codegen.vluxseg6ei16_v": 23122, - "codegen.vluxseg6ei32_v": 9257, - "codegen.vluxseg6ei8_v": 32517, - "codegen.vluxseg7ei16_v": 25738, - "codegen.vluxseg7ei32_v": 9930, - "codegen.vluxseg7ei8_v": 36576, - "codegen.vluxseg8ei16_v": 28354, - "codegen.vluxseg8ei32_v": 10603, - "codegen.vluxseg8ei8_v": 40635, - "codegen.vmacc_vv": 32785, - "codegen.vmacc_vx": 107580, - "codegen.vmadc_vi": 41007, - "codegen.vmadc_vim": 43005, - "codegen.vmadc_vv": 13522, - "codegen.vmadc_vvm": 16001, - "codegen.vmadc_vx": 58245, - "codegen.vmadc_vxm": 59863, - "codegen.vmadd_vv": 32785, - "codegen.vmadd_vx": 107580, - "codegen.vmand_mm": 12704, - "codegen.vmandn_mm": 12704, - "codegen.vmax_vv": 32444, - "codegen.vmax_vx": 98805, - "codegen.vmaxu_vv": 32444, - "codegen.vmaxu_vx": 98805, - "codegen.vmerge_vim": 50117, - "codegen.vmerge_vvm": 18198, - "codegen.vmerge_vxm": 69137, - "codegen.vmin_vv": 32444, - "codegen.vmin_vx": 98805, - "codegen.vminu_vv": 32444, - "codegen.vminu_vx": 98805, - "codegen.vmnand_mm": 12704, - "codegen.vmnor_mm": 12704, - "codegen.vmor_mm": 12704, - "codegen.vmorn_mm": 12704, - "codegen.vmsbc_vv": 13522, - "codegen.vmsbc_vvm": 16001, - "codegen.vmsbc_vx": 57940, - "codegen.vmsbc_vxm": 59863, - "codegen.vmsbf_m": 1869, - "codegen.vmseq_vi": 96519, - "codegen.vmseq_vv": 32553, - "codegen.vmseq_vx": 134810, - "codegen.vmsgt_vi": 96519, - "codegen.vmsgt_vv": 32527, - "codegen.vmsgt_vx": 134810, - "codegen.vmsgtu_vi": 96519, - "codegen.vmsgtu_vv": 32527, - "codegen.vmsgtu_vx": 134810, - "codegen.vmsif_m": 1869, - "codegen.vmsle_vi": 96519, - "codegen.vmsle_vv": 32553, - "codegen.vmsle_vx": 134810, - "codegen.vmsleu_vi": 96519, - "codegen.vmsleu_vv": 32553, - "codegen.vmsleu_vx": 134810, - "codegen.vmslt_vv": 32553, - "codegen.vmslt_vx": 134810, - "codegen.vmsltu_vv": 32553, - "codegen.vmsltu_vx": 134810, - "codegen.vmsne_vi": 96519, - "codegen.vmsne_vv": 32553, - "codegen.vmsne_vx": 134810, - "codegen.vmsof_m": 1869, - "codegen.vmul_vv": 32538, - "codegen.vmul_vx": 131677, - "codegen.vmulh_vv": 32538, - "codegen.vmulh_vx": 131677, - "codegen.vmulhsu_vv": 32538, - "codegen.vmulhsu_vx": 131677, - "codegen.vmulhu_vv": 32538, - "codegen.vmulhu_vx": 131677, - "codegen.vmv_s_x": 1761, - "codegen.vmv_v_i": 25612, - "codegen.vmv_v_v": 11387, - "codegen.vmv_v_x": 8685, - "codegen.vmv_x_s": 2998, - "codegen.vmv1r_v": 2096, - "codegen.vmv2r_v": 2104, - "codegen.vmv4r_v": 2220, - "codegen.vmv8r_v": 3584, - "codegen.vmxnor_mm": 12704, - "codegen.vmxor_mm": 12704, - "codegen.vnclip_wi": 217431, - "codegen.vnclip_wv": 81660, - "codegen.vnclip_wx": 303986, - "codegen.vnclipu_wi": 217431, - "codegen.vnclipu_wv": 81660, - "codegen.vnclipu_wx": 303986, - "codegen.vnmsac_vv": 32785, - "codegen.vnmsac_vx": 107580, - "codegen.vnmsub_vv": 32785, - "codegen.vnmsub_vx": 107586, - "codegen.vnsra_wi": 53917, - "codegen.vnsra_wv": 19756, - "codegen.vnsra_wx": 75353, - "codegen.vnsrl_wi": 53917, - "codegen.vnsrl_wv": 19756, - "codegen.vnsrl_wx": 75353, - "codegen.vor_vi": 70607, - "codegen.vor_vv": 32444, - "codegen.vor_vx": 98797, - "codegen.vredand_vs": 33800, - "codegen.vredmax_vs": 33800, - "codegen.vredmaxu_vs": 33800, - "codegen.vredmin_vs": 33800, - "codegen.vredminu_vs": 33800, - "codegen.vredor_vs": 33800, - "codegen.vredsum_vs": 33800, - "codegen.vredxor_vs": 33800, - "codegen.vrem_vv": 44882, - "codegen.vrem_vx": 336306, - "codegen.vremu_vv": 45140, - "codegen.vremu_vx": 353299, - "codegen.vrgather_vi": 122408, - "codegen.vrgather_vv": 177891, - "codegen.vrgather_vx": 148078, - "codegen.vrgatherei16_vv": 132273, - "codegen.vrsub_vi": 70615, - "codegen.vrsub_vx": 98805, - "codegen.vs1r_v": 1072, - "codegen.vs2r_v": 1104, - "codegen.vs4r_v": 1199, - "codegen.vs8r_v": 1681, - "codegen.vsadd_vi": 71885, - "codegen.vsadd_vv": 33710, - "codegen.vsadd_vx": 100347, - "codegen.vsaddu_vi": 71885, - "codegen.vsaddu_vv": 33710, - "codegen.vsaddu_vx": 100347, - "codegen.vsbc_vvm": 16122, - "codegen.vsbc_vxm": 72004, - "codegen.vse16_v": 6756, - "codegen.vse32_v": 5445, - "codegen.vse8_v": 7930, - "codegen.vsetivli": 6011, - "codegen.vsetvl": 886, - "codegen.vsetvli": 18632, - "codegen.vsext_vf2": 38463, - "codegen.vsext_vf4": 6444, - "codegen.vslide1down_vx": 1644215, - "codegen.vslide1up_vx": 1638503, - "codegen.vslidedown_vi": 1152989, - "codegen.vslidedown_vx": 1640327, - "codegen.vslideup_vi": 1149345, - "codegen.vslideup_vx": 1637003, - "codegen.vsll_vi": 92233, - "codegen.vsll_vv": 35670, - "codegen.vsll_vx": 129092, - "codegen.vsm_v": 1173, - "codegen.vsmul_vv": 134406, - "codegen.vsmul_vx": 398974, - "codegen.vsoxei16_v": 45091, - "codegen.vsoxei32_v": 25135, - "codegen.vsoxei8_v": 64500, - "codegen.vsoxseg2ei16_v": 43939, - "codegen.vsoxseg2ei32_v": 25646, - "codegen.vsoxseg2ei8_v": 57410, - "codegen.vsoxseg3ei16_v": 32366, - "codegen.vsoxseg3ei32_v": 19980, - "codegen.vsoxseg3ei8_v": 41620, - "codegen.vsoxseg4ei16_v": 38149, - "codegen.vsoxseg4ei32_v": 22451, - "codegen.vsoxseg4ei8_v": 50133, - "codegen.vsoxseg5ei16_v": 23949, - "codegen.vsoxseg5ei32_v": 10305, - "codegen.vsoxseg5ei8_v": 32937, - "codegen.vsoxseg6ei16_v": 26565, - "codegen.vsoxseg6ei32_v": 10978, - "codegen.vsoxseg6ei8_v": 36996, - "codegen.vsoxseg7ei16_v": 29181, - "codegen.vsoxseg7ei32_v": 11651, - "codegen.vsoxseg7ei8_v": 41055, - "codegen.vsoxseg8ei16_v": 31797, - "codegen.vsoxseg8ei32_v": 12324, - "codegen.vsoxseg8ei8_v": 45114, - "codegen.vsra_vi": 92233, - "codegen.vsra_vv": 35670, - "codegen.vsra_vx": 129092, - "codegen.vsrl_vi": 92233, - "codegen.vsrl_vv": 35670, - "codegen.vsrl_vx": 129092, - "codegen.vsse16_v": 80780, - "codegen.vsse32_v": 53280, - "codegen.vsse8_v": 123173, - "codegen.vsseg2e16_v": 5495, - "codegen.vsseg2e32_v": 4214, - "codegen.vsseg2e8_v": 6711, - "codegen.vsseg3e16_v": 4219, - "codegen.vsseg3e32_v": 3161, - "codegen.vsseg3e8_v": 5496, - "codegen.vsseg4e16_v": 4395, - "codegen.vsseg4e32_v": 3414, - "codegen.vsseg4e8_v": 5656, - "codegen.vsseg5e16_v": 3631, - "codegen.vsseg5e32_v": 2239, - "codegen.vsseg5e8_v": 5017, - "codegen.vsseg6e16_v": 3801, - "codegen.vsseg6e32_v": 2331, - "codegen.vsseg6e8_v": 5269, - "codegen.vsseg7e16_v": 3991, - "codegen.vsseg7e32_v": 2429, - "codegen.vsseg7e8_v": 5527, - "codegen.vsseg8e16_v": 4177, - "codegen.vsseg8e32_v": 2527, - "codegen.vsseg8e8_v": 5783, - "codegen.vssra_vi": 369548, - "codegen.vssra_vv": 142365, - "codegen.vssra_vx": 786794, - "codegen.vssrl_vi": 369548, - "codegen.vssrl_vv": 142375, - "codegen.vssrl_vx": 786794, - "codegen.vssseg2e16_v": 68377, - "codegen.vssseg2e32_v": 44470, - "codegen.vssseg2e8_v": 116128, - "codegen.vssseg3e16_v": 56170, - "codegen.vssseg3e32_v": 31548, - "codegen.vssseg3e8_v": 93772, - "codegen.vssseg4e16_v": 64926, - "codegen.vssseg4e32_v": 34832, - "codegen.vssseg4e8_v": 111964, - "codegen.vssseg5e16_v": 47616, - "codegen.vssseg5e32_v": 18478, - "codegen.vssseg5e8_v": 89710, - "codegen.vssseg6e16_v": 51648, - "codegen.vssseg6e32_v": 19370, - "codegen.vssseg6e8_v": 99342, - "codegen.vssseg7e16_v": 55680, - "codegen.vssseg7e32_v": 20262, - "codegen.vssseg7e8_v": 108974, - "codegen.vssseg8e16_v": 59712, - "codegen.vssseg8e32_v": 21154, - "codegen.vssseg8e8_v": 118606, - "codegen.vssub_vv": 32444, - "codegen.vssub_vx": 148051, - "codegen.vssubu_vv": 32444, - "codegen.vssubu_vx": 148051, - "codegen.vsub_vv": 32444, - "codegen.vsub_vx": 148051, - "codegen.vsuxei16_v": 45091, - "codegen.vsuxei32_v": 25135, - "codegen.vsuxei8_v": 64500, - "codegen.vsuxseg2ei16_v": 43939, - "codegen.vsuxseg2ei32_v": 25646, - "codegen.vsuxseg2ei8_v": 57410, - "codegen.vsuxseg3ei16_v": 32366, - "codegen.vsuxseg3ei32_v": 19980, - "codegen.vsuxseg3ei8_v": 41620, - "codegen.vsuxseg4ei16_v": 38149, - "codegen.vsuxseg4ei32_v": 22451, - "codegen.vsuxseg4ei8_v": 50133, - "codegen.vsuxseg5ei16_v": 23949, - "codegen.vsuxseg5ei32_v": 10305, - "codegen.vsuxseg5ei8_v": 32937, - "codegen.vsuxseg6ei16_v": 26565, - "codegen.vsuxseg6ei32_v": 10978, - "codegen.vsuxseg6ei8_v": 36996, - "codegen.vsuxseg7ei16_v": 29181, - "codegen.vsuxseg7ei32_v": 11651, - "codegen.vsuxseg7ei8_v": 41055, - "codegen.vsuxseg8ei16_v": 31797, - "codegen.vsuxseg8ei32_v": 12324, - "codegen.vsuxseg8ei8_v": 45114, - "codegen.vwadd_vv": 18899, - "codegen.vwadd_vx": 59836, - "codegen.vwadd_wv": 19684, - "codegen.vwadd_wx": 66630, - "codegen.vwaddu_vv": 18899, - "codegen.vwaddu_vx": 59836, - "codegen.vwaddu_wv": 19684, - "codegen.vwaddu_wx": 66630, - "codegen.vwmacc_vv": 19647, - "codegen.vwmacc_vx": 70941, - "codegen.vwmaccsu_vv": 19647, - "codegen.vwmaccsu_vx": 70941, - "codegen.vwmaccu_vv": 19647, - "codegen.vwmaccu_vx": 70941, - "codegen.vwmaccus_vx": 70941, - "codegen.vwmul_vv": 18926, - "codegen.vwmul_vx": 79906, - "codegen.vwmulsu_vv": 18926, - "codegen.vwmulsu_vx": 79906, - "codegen.vwmulu_vv": 18926, - "codegen.vwmulu_vx": 79906, - "codegen.vwredsum_vs": 19797, - "codegen.vwredsumu_vs": 19797, - "codegen.vwsub_vv": 18899, - "codegen.vwsub_vx": 59836, - "codegen.vwsub_wv": 19684, - "codegen.vwsub_wx": 66630, - "codegen.vwsubu_vv": 18899, - "codegen.vwsubu_vx": 59836, - "codegen.vwsubu_wv": 19684, - "codegen.vwsubu_wx": 66630, - "codegen.vxor_vi": 70607, - "codegen.vxor_vv": 32444, - "codegen.vxor_vx": 98797, - "codegen.vzext_vf2": 38463, - "codegen.vzext_vf4": 6444, - "codegen.vfadd_vv": 108654, - "codegen.vfadd_vf": 502684, - "codegen.vfsub_vv": 108654, - "codegen.vfsub_vf": 502684, - "codegen.vfrsub_vf": 502684, - "codegen.vfmul_vv": 108654, - "codegen.vfmul_vf": 502684, - "codegen.vfdiv_vv": 179112, - "codegen.vfdiv_vf": 1278849, - "codegen.vfrdiv_vf": 1278849, - "codegen.vfmacc_vv": 108563, - "codegen.vfmacc_vf": 540980, - "codegen.vfnmacc_vv": 108563, - "codegen.vfnmacc_vf": 540980, - "codegen.vfmsac_vv": 108563, - "codegen.vfmsac_vf": 540980, - "codegen.vfnmsac_vv": 108563, - "codegen.vfnmsac_vf": 540980, - "codegen.vfmadd_vv": 108563, - "codegen.vfmadd_vf": 540980, - "codegen.vfnmadd_vv": 108563, - "codegen.vfnmadd_vf": 540980, - "codegen.vfmsub_vv": 108563, - "codegen.vfmsub_vf": 540980, - "codegen.vfnmsub_vv": 108563, - "codegen.vfnmsub_vf": 540980, - "codegen.vfsqrt_v": 16316, - "codegen.vfrsqrt7_v": 7919, - "codegen.vfrec7_v": 7919, - "codegen.vfmin_vv": 108654, - "codegen.vfmin_vf": 502684, - "codegen.vfmax_vv": 108654, - "codegen.vfmax_vf": 502684, - "codegen.vfsgnj_vv": 108654, - "codegen.vfsgnj_vf": 423557, - "codegen.vfsgnjn_vv": 108654, - "codegen.vfsgnjn_vf": 423557, - "codegen.vfsgnjx_vv": 108654, - "codegen.vfsgnjx_vf": 423557, - "codegen.vmfeq_vv": 116021, - "codegen.vmfeq_vf": 702300, - "codegen.vmfne_vv": 116021, - "codegen.vmfne_vf": 702300, - "codegen.vmflt_vv": 116021, - "codegen.vmflt_vf": 702300, - "codegen.vmfgt_vf": 702300, - "codegen.vmfge_vf": 702300, - "codegen.vfclass_v": 7932, - "codegen.vfmerge_vfm": 302389, - "codegen.vfmv_v_f": 2508, - "codegen.vfmv_f_s": 11978, - "codegen.vfmv_s_f": 1121, - "codegen.vfcvt_xu_f_v": 7919, - "codegen.vfcvt_x_f_v": 7919, - "codegen.vfcvt_rtz_xu_f_v": 7919, - "codegen.vfcvt_rtz_x_f_v": 7919, - "codegen.vfcvt_f_xu_v": 7919, - "codegen.vfcvt_f_x_v": 7919, - "codegen.vfredosum_vs": 211132, - "codegen.vfredusum_vs": 142775, - "codegen.vfredmax_vs": 142775, - "codegen.vfredmin_vs": 142775, - "rvv_bench.ascii_to_utf16": 702249, - "rvv_bench.ascii_to_utf32": 232728, - "rvv_bench.byteswap": 489291, - "rvv_bench.chacha20": 40015, - "rvv_bench.mandelbrot": 544616, - "rvv_bench.memcpy": 674613, - "rvv_bench.memset": 289499, - "rvv_bench.mergelines": 627690, - "rvv_bench.poly1305": 40015, - "rvv_bench.strlen": 224207, - "rvv_bench.utf8_count": 2304651 -} \ No newline at end of file diff --git a/.github/t1rocket-cases/t1rocket/perf.json b/.github/t1rocket-cases/t1rocket/perf.json deleted file mode 100644 index b75990ed7..000000000 --- a/.github/t1rocket-cases/t1rocket/perf.json +++ /dev/null @@ -1,9 +0,0 @@ -{ - "mlir.conv": 0, - "mlir.matmul": 0, - "mlir.stripmining": 26732, - "intrinsic.conv2d_less_m2": 2087, - "intrinsic.linear_normalization": 2878, - "intrinsic.matmul": 0, - "intrinsic.softmax": 7437 -} \ No newline at end of file From 69ca5f753037e9f49e814964fba5942f4a70478d Mon Sep 17 00:00:00 2001 From: Avimitin Date: Mon, 14 Oct 2024 14:23:34 +0800 Subject: [PATCH 15/23] [nix] add debug build for ci script Signed-off-by: Avimitin --- script/default.nix | 28 +++++++++++++++++++++++----- 1 file changed, 23 insertions(+), 5 deletions(-) diff --git a/script/default.nix b/script/default.nix index e92e82aea..dbd294d4c 100644 --- a/script/default.nix +++ b/script/default.nix @@ -51,6 +51,8 @@ let ''; }); + passthru.debug = self.overrideAttrs { enableNativeExe = false; }; + nativeBuildInputs = [ mill graalvm-ce @@ -59,16 +61,24 @@ let passthru.millDeps.setupHook ]; + enableNativeExe = true; + buildPhase = '' runHook preBuild - echo "Checking format" - mill -i ${moduleName}.checkFormat + # Not debug build, check source format + if (( $enableNativeExe )); then + echo "Checking format" + mill -i ${moduleName}.checkFormat + fi echo "Building JAR" mill -i ${moduleName}.assembly - echo "Running native-image" - native-image --no-fallback -jar out/${moduleName}/assembly.dest/out.jar "$name.elf" + + if (( $enableNativeExe )); then + echo "Running native-image" + native-image --no-fallback -jar out/${moduleName}/assembly.dest/out.jar "$name.elf" + fi runHook postBuild ''; @@ -77,7 +87,15 @@ let runHook preInstall mkdir -p "$out"/bin - cp "$name.elf" "$out"/bin/"${outName}" + + if (( $enableNativeExe )); then + cp "$name.elf" "$out"/bin/"${outName}" + else + mkdir -p $out/share/java + mv out/${moduleName}/assembly.dest/out.jar $out/share/java/${moduleName}.jar + makeWrapper ${mill.jre}/bin/java $out/bin/${outName} \ + --add-flags "-jar $out/share/java/${moduleName}.jar" + fi runHook postInstall ''; From 82f9bd21976b744599d7533dfbb8fda25e30ab16 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Mon, 14 Oct 2024 15:01:59 +0800 Subject: [PATCH 16/23] [script] add new "--top" option to all sub targets Signed-off-by: Avimitin --- script/ci/src/Main.scala | 51 ++++++++++++++++++++-------------------- 1 file changed, 25 insertions(+), 26 deletions(-) diff --git a/script/ci/src/Main.scala b/script/ci/src/Main.scala index b73de2a6c..5a5920bba 100644 --- a/script/ci/src/Main.scala +++ b/script/ci/src/Main.scala @@ -126,10 +126,11 @@ object Main: def generateCiMatrix( runnersAmount: Int, caseDir: String = "designs", - testPlanFile: String = "default.json" + top: String ) = { val testPlans = - os.walk(os.pwd / ".github" / caseDir).filter(_.last == testPlanFile) + os.walk(os.pwd / ".github" / caseDir) + .filter(_.last == s"${top}.json") println(toMatrixJson(scheduleTasks(testPlans, runnersAmount))) } @@ -144,9 +145,9 @@ object Main: // @param: dontBail don't throw exception when test fail. Useful for postpr. @main def runTests( - jobs: String, - testIp: String, - testType: String + jobs: String, + top: String, + emuLib: String ): Unit = if jobs == "" then Logger.info("No test found, exiting") @@ -161,17 +162,17 @@ object Main: s"${BOLD}[${index + 1}/${allJobs.length}]${RESET} Simulating test case $caseName with config $config" ) - val testAttr = testType.toLowerCase() match + val testAttr = emuLib.toLowerCase() match case "verilator" => - s".#t1.$config.$testIp.run.$caseName.verilator-emu" - case "vcs" => s".#t1.$config.$testIp.run.$caseName.vcs-emu" - case _ => Logger.fatal(s"Invalid test type ${testType}") + s".#t1.$config.$top.run.$caseName.verilator-emu" + case "vcs" => s".#t1.$config.$top.run.$caseName.vcs-emu" + case _ => Logger.fatal(s"Invalid test type ${emuLib}") val testResultPath = try os.Path( nixResolvePath( testAttr, - if testType == "vcs" then Seq("--impure") else Seq() + if emuLib == "vcs" then Seq("--impure") else Seq() ) ) catch @@ -179,7 +180,7 @@ object Main: Logger.error( s"Online driver for config $config, case $caseName fail, please check manually on local machine" ) - Logger.error(s"nix build $testAttr" ++ (if testType == "vcs" then " --impure" else "")) + Logger.error(s"nix build $testAttr" ++ (if emuLib == "vcs" then " --impure" else "")) Logger.fatal("Online Drive run fail, exiting CI") Logger.info("Checking RTL event from event log") @@ -220,13 +221,13 @@ object Main: doc = "specify the urg report markdown file output path" ) urgReportFilePath: Option[String], @arg( - name = "emu-type", - doc = "Specify emulation type" - ) emuType: String = "verilator", + name = "emu-lib", + doc = "Specify emulator library type, verilator or vcs" + ) emuLib: String = "vcs", @arg( - name = "case-dir", - doc = "Specify case directory" - ) caseDir: String = "cases" + name = "top", + doc = "Specify emulator ip top, Eg. t1rocketemu/t1emu..." + ) top: String ) = val failedTestsFile = os.Path(failedTestsFilePath, os.pwd) os.write.over(failedTestsFile, "## Failed Tests\n") @@ -238,8 +239,8 @@ object Main: if urgReportFilePath.nonEmpty then os.write(os.Path(urgReportFilePath.get, os.pwd), "# Coverage report\n") - os.walk(os.pwd / ".github" / caseDir) - .filter(_.last == "default.json") + os.walk(os.pwd / ".github" / "designs") + .filter(_.last == s"${top}.json") .foreach: file => val config = file.segments.toSeq.reverse.apply(1) var cycleRecord = ujson.read(os.read(file)) @@ -247,8 +248,8 @@ object Main: Logger.info("Fetching CI results") val emuResultPath = os.Path( nixResolvePath( - s".#t1.$config.ip.run._${emuType}EmuResult", - if emuType.toLowerCase() == "vcs" then Seq("--impure") + s".#t1.$config.${top}.run._${emuLib}EmuResult", + if emuLib.toLowerCase() == "vcs" then Seq("--impure") else Seq() ) ) @@ -261,7 +262,7 @@ object Main: val caseName = path.segments.toSeq.reverse.drop(1).head os.write.append( failedTestsFile, - s"* ${config} - ${caseName}: `nix build .#t1.$config.ip.$caseName.$emuType-emu -L --impure`\n" + s"* ${config} - ${caseName}: `nix build .#t1.$config.${top}.$caseName.$emuLib-emu -L --impure`\n" ) }) @@ -309,10 +310,10 @@ object Main: end postCI @main - def generateTestPlan() = + def generateTestPlan(top: String) = val testPlans = os .walk(os.pwd / ".github" / "designs") - .filter(_.last == "default.json") + .filter(_.last == s"${top}.json") .map(path => path.segments.toSeq.reverse.drop(1).head) println(ujson.write(Map("config" -> testPlans))) @@ -340,8 +341,6 @@ object Main: .filter: path => path.last == "default.json" .map: path => - // We have a list of pwd/.github/cases//default.json string, - // but all we need is the name. path.segments.toSeq.reverse.drop(1).head import scala.util.chaining._ From 4217bd48aaafa440e2a348b8861a2d7c43134ace Mon Sep 17 00:00:00 2001 From: Avimitin Date: Mon, 14 Oct 2024 15:09:41 +0800 Subject: [PATCH 17/23] [ci] add multiple top support for emulator tests Signed-off-by: Avimitin --- .github/readme.md | 22 +++++++++++++++++ .github/workflows/t1rocket.yml | 34 ++++++++++++++------------ .github/workflows/trace.yml | 43 +++++++++++++++++++++++++++------ .github/workflows/vcs.yml | 18 ++++++++------ .github/workflows/verilator.yml | 33 +++++++++---------------- 5 files changed, 98 insertions(+), 52 deletions(-) create mode 100644 .github/readme.md diff --git a/.github/readme.md b/.github/readme.md new file mode 100644 index 000000000..54cb10074 --- /dev/null +++ b/.github/readme.md @@ -0,0 +1,22 @@ +Current test flow +----------------- + +```mermaid +stateDiagram-v2 + state "GitHub CI Action" as github_ci + state generateTestPlan { + state "read .github/designs/**/*.json" as read_config + state "parse config info" as parse_config + read_config --> parse_config + } + parse_config --> github_ci + state "Build emulator before test" as prebuild_emulator + github_ci --> prebuild_emulator + state "Generate test matrix" as generate_matrix + prebuild_emulator --> generate_matrix + generate_matrix --> github_ci + state "Dispatch tests to machine" as run_test + github_ci --> run_test + state "Collect results" as report + run_test --> report +``` diff --git a/.github/workflows/t1rocket.yml b/.github/workflows/t1rocket.yml index 92945dfdd..bb510c03f 100644 --- a/.github/workflows/t1rocket.yml +++ b/.github/workflows/t1rocket.yml @@ -1,4 +1,4 @@ -name: T1 Rocket VCS Emulator Test +name: T1RocketEmu VCS Test on: pull_request: types: @@ -9,6 +9,7 @@ on: - labeled env: USER: runner + EMU_TOP: t1rocketemu # Cancel the current workflow when new commit pushed concurrency: @@ -27,10 +28,10 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - id: "get-all-configs" - run: echo "out=$(nix run .#ci-helper -- generateTestPlan)" > $GITHUB_OUTPUT + run: echo "out=$(nix run .#ci-helper -- generateTestPlan --top ${{ env.EMU_TOP }})" > $GITHUB_OUTPUT build-emulators: - name: "Build VCS Emulators w/ Rocket" + name: "Build VCS Emulators" needs: [gen-test-plan] runs-on: [self-hosted, linux, nixos, BIGRAM] strategy: @@ -42,10 +43,10 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build vcs emulator" run: | - nix build '.#t1.${{ matrix.config }}.t1rocketemu.vcs-emu' --impure --no-link + nix build '.#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.vcs-emu' --impure --no-link - name: "Build all testcases" run: | - nix build ".#t1.${{ matrix.config }}.t1rocketemu.cases._all" --max-jobs auto --no-link --cores 64 + nix build ".#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.cases._all" --max-jobs auto --no-link --cores 64 gen-matrix: name: "Prepare for running testcases" @@ -66,10 +67,10 @@ jobs: name: "Generate test matrix" run: | echo -n matrix= >> "$GITHUB_OUTPUT" - nix run ".#ci-helper" -- generateCiMatrix --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" + nix run ".#ci-helper" -- generateCiMatrix --top ${{ env.EMU_TOP }} --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" run-testcases: - name: "Run VCS w/ Rocket" + name: "Run VCS" needs: [gen-matrix] strategy: fail-fast: false @@ -81,10 +82,10 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Run testcases" run: | - nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "vcs" --testIp "t1rocketemu" + nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --emuLib "vcs" --top ${{ env.EMU_TOP }} report: - name: "Report VCS (w/ Rocket) CI result" + name: "Report VCS CI result" # Don't run report when: # - user cancel ( we don't need report at this case ) # - PR from outside repository ( we don't have permission to push commit into fork repository ) @@ -101,23 +102,26 @@ jobs: - name: "Print step summary" run: | nix run ".#ci-helper" -- postCI \ - --case-dir t1rocket-cases \ - --failed-tests-file-path ./failed-tests.md \ + --urg-report-file-path ./urg-report.md \ --cycle-update-file-path ./cycle-update.md \ - --emu-type vcs - cat ./failed-tests.md >> $GITHUB_STEP_SUMMARY + --failed-tests-file-path ./failed-tests.md \ + --emu-lib "vcs" \ + --top ${{ env.EMU_TOP }} + cat ./urg-report.md >> $GITHUB_STEP_SUMMARY echo >> $GITHUB_STEP_SUMMARY cat ./cycle-update.md >> $GITHUB_STEP_SUMMARY + echo >> $GITHUB_STEP_SUMMARY + cat ./failed-tests.md >> $GITHUB_STEP_SUMMARY - name: "Commit cycle updates" run: | git config user.name github-actions git config user.email github-actions@github.com - changed_cases=$(git diff --name-only '.github/**/default.json') + changed_cases=$(git diff --name-only '.github/designs/**/*.json') if [ -n "$changed_cases" ]; then echo "changed cases: $changed_cases" git add '.github/**/default.json' - git commit -m "[ci] update t1rocket test case cycle data" + git commit -m "[ci] update t1 test case cycle data" git push origin ${{ github.head_ref }} else echo "No cycle change detect" diff --git a/.github/workflows/trace.yml b/.github/workflows/trace.yml index f153aeba5..27d9fd627 100644 --- a/.github/workflows/trace.yml +++ b/.github/workflows/trace.yml @@ -16,9 +16,9 @@ concurrency: cancel-in-progress: true jobs: - gen-test-plan: + gen-test-plan-for-t1emu: if: '! github.event.pull_request.draft' - name: "Generate test plan" + name: "[T1EMU] Generate test plan" runs-on: [self-hosted, linux, nixos] outputs: testplan: ${{ steps.get-all-configs.outputs.out }} @@ -27,20 +27,47 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - id: "get-all-configs" - run: echo "out=$(nix run .#ci-helper generateTestPlan)" > $GITHUB_OUTPUT + run: echo "out=$(nix run .#ci-helper -- generateTestPlan --top t1emu)" > $GITHUB_OUTPUT - build-vcs-emulators: - name: "Build VCS trace emulators" - needs: [gen-test-plan] + build-t1emu-vcs-emulators: + name: "[T1EMU] Build VCS trace emulators" + needs: [gen-test-plan-for-t1emu] runs-on: [self-hosted, linux, nixos, BIGRAM] strategy: fail-fast: false - matrix: ${{ fromJSON(needs.gen-test-plan.outputs.testplan) }} + matrix: ${{ fromJSON(needs.gen-test-plan-for-t1emu.outputs.testplan) }} steps: - uses: actions/checkout@v4 with: ref: ${{ github.event.pull_request.head.sha }} - - name: "Build vcs emulator" + - name: "Build T1Emu vcs emulator" run: | nix build '.#t1.${{ matrix.config }}.t1emu.vcs-emu-trace' --impure --no-link --cores 64 + + gen-test-plan-for-t1rocketemu: + if: '! github.event.pull_request.draft' + name: "[T1ROCKETEMU] Generate test plan" + runs-on: [self-hosted, linux, nixos] + outputs: + testplan: ${{ steps.get-all-configs.outputs.out }} + steps: + - uses: actions/checkout@v4 + with: + ref: ${{ github.event.pull_request.head.sha }} + - id: "get-all-configs" + run: echo "out=$(nix run .#ci-helper -- generateTestPlan --top t1rocketemu)" > $GITHUB_OUTPUT + + build-t1rocketemu-vcs-emulators: + name: "[T1EMU] Build VCS trace emulators" + needs: [gen-test-plan-for-t1rocketemu] + runs-on: [self-hosted, linux, nixos, BIGRAM] + strategy: + fail-fast: false + matrix: ${{ fromJSON(needs.gen-test-plan-for-t1rocketemu.outputs.testplan) }} + steps: + - uses: actions/checkout@v4 + with: + ref: ${{ github.event.pull_request.head.sha }} + - name: "Build T1RocketEmu vcs emulator" + run: | nix build '.#t1.${{ matrix.config }}.t1rocketemu.vcs-emu-trace' --impure --no-link --cores 64 diff --git a/.github/workflows/vcs.yml b/.github/workflows/vcs.yml index 52ca5d0af..5a8da0c62 100644 --- a/.github/workflows/vcs.yml +++ b/.github/workflows/vcs.yml @@ -1,4 +1,4 @@ -name: T1 VCS Emulator Test +name: T1Emu VCS Test on: pull_request: types: @@ -9,6 +9,7 @@ on: - labeled env: USER: runner + EMU_TOP: t1emu # Cancel the current workflow when new commit pushed concurrency: @@ -27,7 +28,7 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - id: "get-all-configs" - run: echo "out=$(nix run .#ci-helper generateTestPlan)" > $GITHUB_OUTPUT + run: echo "out=$(nix run .#ci-helper -- generateTestPlan --top ${{ env.EMU_TOP }})" > $GITHUB_OUTPUT build-emulators: name: "Build VCS Emulators" @@ -42,10 +43,10 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build vcs emulator" run: | - nix build '.#t1.${{ matrix.config }}.t1emu.vcs-emu' --impure --no-link + nix build '.#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.vcs-emu' --impure --no-link - name: "Build all testcases" run: | - nix build ".#t1.${{ matrix.config }}.t1emu.cases._all" --max-jobs auto --no-link --cores 64 + nix build ".#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.cases._all" --max-jobs auto --no-link --cores 64 gen-matrix: name: "Prepare for running testcases" @@ -66,7 +67,7 @@ jobs: name: "Generate test matrix" run: | echo -n matrix= >> "$GITHUB_OUTPUT" - nix run ".#ci-helper" -- generateCiMatrix --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" + nix run ".#ci-helper" -- generateCiMatrix --top ${{ env.EMU_TOP }} --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" run-testcases: name: "Run VCS" @@ -81,7 +82,7 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Run testcases" run: | - nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "vcs" --testIp "t1emu" + nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --emuLib "vcs" --top ${{ env.EMU_TOP }} report: name: "Report VCS CI result" @@ -104,7 +105,8 @@ jobs: --urg-report-file-path ./urg-report.md \ --cycle-update-file-path ./cycle-update.md \ --failed-tests-file-path ./failed-tests.md \ - --emu-type vcs + --emu-lib "vcs" \ + --top ${{ env.EMU_TOP }} cat ./urg-report.md >> $GITHUB_STEP_SUMMARY echo >> $GITHUB_STEP_SUMMARY cat ./cycle-update.md >> $GITHUB_STEP_SUMMARY @@ -114,7 +116,7 @@ jobs: run: | git config user.name github-actions git config user.email github-actions@github.com - changed_cases=$(git diff --name-only '.github/**/default.json') + changed_cases=$(git diff --name-only '.github/designs/**/*.json') if [ -n "$changed_cases" ]; then echo "changed cases: $changed_cases" diff --git a/.github/workflows/verilator.yml b/.github/workflows/verilator.yml index 18362566c..19089533c 100644 --- a/.github/workflows/verilator.yml +++ b/.github/workflows/verilator.yml @@ -1,9 +1,12 @@ -name: Verilator Daily Regression +name: T1Emu Verilator Daily Regression on: schedule: # Run this job everyday at 6:30 AM UTC+8 - cron: '30 22 * * *' +env: + EMU_TOP: t1emu + jobs: gen-test-plan: name: "Generate test plan" @@ -15,7 +18,7 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - id: "get-all-configs" - run: echo "out=$(nix run .#ci-helper generateTestPlan)" > $GITHUB_OUTPUT + run: echo "out=$(nix run .#ci-helper -- generateTestPlan --top ${{ env.EMU_TOP }})" > $GITHUB_OUTPUT build-verilator-emulators: name: "Build Verilator Emulators" @@ -30,11 +33,11 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Build verilator emulator" run: | - nix build '.#t1.${{ matrix.config }}.t1emu.verilator-emu' -L --no-link --cores 64 + nix build '.#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.verilator-emu' -L --no-link --cores 64 - name: "Build all testcases" run: | # Build testcases with vlen 1024 and vlen 4096 - nix build ".#t1.${{ matrix.config }}.t1emu.cases._all" --max-jobs auto -L --no-link --cores 64 + nix build ".#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.cases._all" --max-jobs auto -L --no-link --cores 64 # In the future, we may choose Verdi for trace, and left verilator trace only for performance evaluation build-verilator-trace-emulators: @@ -49,7 +52,7 @@ jobs: with: ref: ${{ github.event.pull_request.head.sha }} - name: "Build verilator emulator with trace" - run: nix build '.#t1.${{ matrix.config }}.t1emu.verilator-emu-trace' -L --no-link --cores 64 + run: nix build '.#t1.${{ matrix.config }}.${{ env.EMU_TOP }}.verilator-emu-trace' -L --no-link --cores 64 gen-matrix: name: "Prepare for running testcases" @@ -70,7 +73,7 @@ jobs: name: "Generate test matrix" run: | echo -n matrix= >> "$GITHUB_OUTPUT" - nix run ".#ci-helper" -- generateCiMatrix --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" + nix run ".#ci-helper" -- generateCiMatrix --top ${{ env.EMU_TOP }} --runnersAmount "$RUNNERS" >> "$GITHUB_OUTPUT" run-testcases: name: "Run testcases" @@ -85,7 +88,7 @@ jobs: ref: ${{ github.event.pull_request.head.sha }} - name: "Run testcases" run: | - nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --testType "verilator" --testIp "t1emu" + nix run ".#ci-helper" -- runTests --jobs "${{ matrix.jobs }}" --emuLib "verilator" --top ${{ env.EMU_TOP }} report: name: "Report CI result" @@ -107,20 +110,8 @@ jobs: nix run ".#ci-helper" -- postCI \ --failed-tests-file-path ./failed-tests.md \ --cycle-update-file-path ./cycle-update.md + --emu-lib "verilator" \ + --top ${{ env.EMU_TOP }} cat ./failed-tests.md >> $GITHUB_STEP_SUMMARY echo >> $GITHUB_STEP_SUMMARY cat ./cycle-update.md >> $GITHUB_STEP_SUMMARY - - name: "Commit cycle updates" - run: | - git config user.name github-actions - git config user.email github-actions@github.com - changed_cases=$(git diff --name-only '.github/**/default.json') - - if [ -n "$changed_cases" ]; then - echo "changed cases: $changed_cases" - git add '.github/**/default.json' - git commit -m "[ci] update test case cycle data" - git push origin ${{ github.head_ref }} - else - echo "No cycle change detect" - fi From 7e398cd3b10209eb3accba7dfc3f6acd6c7de35e Mon Sep 17 00:00:00 2001 From: Avimitin Date: Mon, 14 Oct 2024 14:23:16 +0800 Subject: [PATCH 18/23] [ci] change test case layout Signed-off-by: Avimitin --- .../blastoise/{default.json => t1emu.json} | 0 .github/designs/machamp/t1emu.json | 448 +++++++++++++++ .github/designs/psyduck/t1emu.json | 529 ++++++++++++++++++ .github/designs/sandslash/t1emu.json | 448 +++++++++++++++ .github/designs/t1rocket/t1rocketemu.json | 517 +++++++++++++++++ nix/t1/run/default.nix | 3 +- nix/t1/t1.nix | 44 +- 7 files changed, 1967 insertions(+), 22 deletions(-) rename .github/designs/blastoise/{default.json => t1emu.json} (100%) create mode 100644 .github/designs/machamp/t1emu.json create mode 100644 .github/designs/psyduck/t1emu.json create mode 100644 .github/designs/sandslash/t1emu.json create mode 100644 .github/designs/t1rocket/t1rocketemu.json diff --git a/.github/designs/blastoise/default.json b/.github/designs/blastoise/t1emu.json similarity index 100% rename from .github/designs/blastoise/default.json rename to .github/designs/blastoise/t1emu.json diff --git a/.github/designs/machamp/t1emu.json b/.github/designs/machamp/t1emu.json new file mode 100644 index 000000000..5213575fe --- /dev/null +++ b/.github/designs/machamp/t1emu.json @@ -0,0 +1,448 @@ +{ + "mlir.rvv_vp_intrinsic_add": 422, + "mlir.rvv_vp_intrinsic_add_scalable": 577, + "mlir.hello": 113, + "mlir.stripmining": 13447, + "asm.mmm": 91448, + "asm.smoke": 5028, + "intrinsic.conv2d_less_m2": 2606, + "codegen.vaadd_vv": 97093, + "codegen.vaadd_vx": 257348, + "codegen.vaaddu_vv": 97093, + "codegen.vaaddu_vx": 257348, + "codegen.vadc_vim": 24513, + "codegen.vadc_vvm": 12251, + "codegen.vadc_vxm": 30942, + "codegen.vadd_vi": 50825, + "codegen.vadd_vv": 24589, + "codegen.vadd_vx": 64652, + "codegen.vand_vi": 50879, + "codegen.vand_vv": 24589, + "codegen.vand_vx": 64727, + "codegen.vasub_vv": 97093, + "codegen.vasub_vx": 257348, + "codegen.vasubu_vv": 97093, + "codegen.vasubu_vx": 257348, + "codegen.vcompress_vm": 32240, + "codegen.vcpop_m": 2045, + "codegen.vdiv_vv": 35150, + "codegen.vdiv_vx": 207000, + "codegen.vdivu_vv": 35160, + "codegen.vdivu_vx": 216629, + "codegen.vfirst_m": 1829, + "codegen.vid_v": 17715, + "codegen.viota_m": 66100, + "codegen.vl1re16_v": 715, + "codegen.vl1re32_v": 715, + "codegen.vl1re8_v": 715, + "codegen.vl2re16_v": 749, + "codegen.vl2re32_v": 749, + "codegen.vl2re8_v": 749, + "codegen.vl4re16_v": 817, + "codegen.vl4re32_v": 817, + "codegen.vl4re8_v": 874, + "codegen.vl8re16_v": 956, + "codegen.vl8re32_v": 953, + "codegen.vl8re8_v": 953, + "codegen.vle16_v": 5647, + "codegen.vle16ff_v": 15038, + "codegen.vle32_v": 4757, + "codegen.vle32ff_v": 8917, + "codegen.vle8_v": 6473, + "codegen.vle8ff_v": 24749, + "codegen.vlm_v": 800, + "codegen.vloxei16_v": 41876, + "codegen.vloxei32_v": 22641, + "codegen.vloxei8_v": 60152, + "codegen.vloxseg2ei16_v": 41838, + "codegen.vloxseg2ei32_v": 23910, + "codegen.vloxseg2ei8_v": 55401, + "codegen.vloxseg3ei16_v": 30791, + "codegen.vloxseg3ei32_v": 18472, + "codegen.vloxseg3ei8_v": 39992, + "codegen.vloxseg4ei16_v": 36551, + "codegen.vloxseg4ei32_v": 20936, + "codegen.vloxseg4ei8_v": 48455, + "codegen.vloxseg5ei16_v": 21851, + "codegen.vloxseg5ei32_v": 9252, + "codegen.vloxseg5ei8_v": 30236, + "codegen.vloxseg6ei16_v": 24467, + "codegen.vloxseg6ei32_v": 9925, + "codegen.vloxseg6ei8_v": 34295, + "codegen.vloxseg7ei16_v": 27083, + "codegen.vloxseg7ei32_v": 10598, + "codegen.vloxseg7ei8_v": 38354, + "codegen.vloxseg8ei16_v": 29699, + "codegen.vloxseg8ei32_v": 11271, + "codegen.vloxseg8ei8_v": 42413, + "codegen.vlse16_v": 67346, + "codegen.vlse32_v": 38002, + "codegen.vlse8_v": 114669, + "codegen.vlseg2e16_v": 5014, + "codegen.vlseg2e32_v": 4034, + "codegen.vlseg2e8_v": 5951, + "codegen.vlseg3e16_v": 4225, + "codegen.vlseg3e32_v": 3056, + "codegen.vlseg3e8_v": 5326, + "codegen.vlseg4e16_v": 4363, + "codegen.vlseg4e32_v": 3156, + "codegen.vlseg4e8_v": 5475, + "codegen.vlseg5e16_v": 3439, + "codegen.vlseg5e32_v": 1903, + "codegen.vlseg5e8_v": 4887, + "codegen.vlseg6e16_v": 3514, + "codegen.vlseg6e32_v": 1938, + "codegen.vlseg6e8_v": 4976, + "codegen.vlseg7e16_v": 3588, + "codegen.vlseg7e32_v": 1971, + "codegen.vlseg7e8_v": 5083, + "codegen.vlseg8e16_v": 3661, + "codegen.vlseg8e32_v": 2004, + "codegen.vlseg8e8_v": 5168, + "codegen.vlsseg2e16_v": 61092, + "codegen.vlsseg2e32_v": 33489, + "codegen.vlsseg2e8_v": 115075, + "codegen.vlsseg3e16_v": 47239, + "codegen.vlsseg3e32_v": 22212, + "codegen.vlsseg3e8_v": 87432, + "codegen.vlsseg4e16_v": 58124, + "codegen.vlsseg4e32_v": 26262, + "codegen.vlsseg4e8_v": 110032, + "codegen.vlsseg5e16_v": 36632, + "codegen.vlsseg5e32_v": 11388, + "codegen.vlsseg5e8_v": 77184, + "codegen.vlsseg6e16_v": 41672, + "codegen.vlsseg6e32_v": 12503, + "codegen.vlsseg6e8_v": 89224, + "codegen.vlsseg7e16_v": 46712, + "codegen.vlsseg7e32_v": 13618, + "codegen.vlsseg7e8_v": 101264, + "codegen.vlsseg8e16_v": 51752, + "codegen.vlsseg8e32_v": 14733, + "codegen.vlsseg8e8_v": 113304, + "codegen.vluxei16_v": 41876, + "codegen.vluxei32_v": 22641, + "codegen.vluxei8_v": 60152, + "codegen.vluxseg2ei16_v": 41838, + "codegen.vluxseg2ei32_v": 23910, + "codegen.vluxseg2ei8_v": 55401, + "codegen.vluxseg3ei16_v": 30791, + "codegen.vluxseg3ei32_v": 18472, + "codegen.vluxseg3ei8_v": 39992, + "codegen.vluxseg4ei16_v": 36551, + "codegen.vluxseg4ei32_v": 20936, + "codegen.vluxseg4ei8_v": 48455, + "codegen.vluxseg5ei16_v": 21851, + "codegen.vluxseg5ei32_v": 9252, + "codegen.vluxseg5ei8_v": 30236, + "codegen.vluxseg6ei16_v": 24467, + "codegen.vluxseg6ei32_v": 9925, + "codegen.vluxseg6ei8_v": 34295, + "codegen.vluxseg7ei16_v": 27083, + "codegen.vluxseg7ei32_v": 10598, + "codegen.vluxseg7ei8_v": 38354, + "codegen.vluxseg8ei16_v": 29699, + "codegen.vluxseg8ei32_v": 11271, + "codegen.vluxseg8ei8_v": 42413, + "codegen.vmacc_vv": 25009, + "codegen.vmacc_vx": 78060, + "codegen.vmadc_vi": 37560, + "codegen.vmadc_vim": 39714, + "codegen.vmadc_vv": 11129, + "codegen.vmadc_vvm": 13331, + "codegen.vmadc_vx": 52086, + "codegen.vmadc_vxm": 53916, + "codegen.vmadd_vv": 25009, + "codegen.vmadd_vx": 78060, + "codegen.vmand_mm": 10003, + "codegen.vmandn_mm": 10003, + "codegen.vmax_vv": 24589, + "codegen.vmax_vx": 64652, + "codegen.vmaxu_vv": 24589, + "codegen.vmaxu_vx": 64652, + "codegen.vmerge_vim": 33376, + "codegen.vmerge_vvm": 13935, + "codegen.vmerge_vxm": 43041, + "codegen.vmin_vv": 24589, + "codegen.vmin_vx": 64652, + "codegen.vminu_vv": 24589, + "codegen.vminu_vx": 64652, + "codegen.vmnand_mm": 10003, + "codegen.vmnor_mm": 10003, + "codegen.vmor_mm": 10003, + "codegen.vmorn_mm": 10003, + "codegen.vmsbc_vv": 11129, + "codegen.vmsbc_vvm": 13283, + "codegen.vmsbc_vx": 51924, + "codegen.vmsbc_vxm": 53916, + "codegen.vmsbf_m": 1724, + "codegen.vmseq_vi": 90830, + "codegen.vmseq_vv": 27835, + "codegen.vmseq_vx": 124301, + "codegen.vmsgt_vi": 90830, + "codegen.vmsgt_vv": 27768, + "codegen.vmsgt_vx": 124301, + "codegen.vmsgtu_vi": 90830, + "codegen.vmsgtu_vv": 27768, + "codegen.vmsgtu_vx": 124301, + "codegen.vmsif_m": 1724, + "codegen.vmsle_vi": 90830, + "codegen.vmsle_vv": 27835, + "codegen.vmsle_vx": 124301, + "codegen.vmsleu_vi": 90830, + "codegen.vmsleu_vv": 27835, + "codegen.vmsleu_vx": 124301, + "codegen.vmslt_vv": 27835, + "codegen.vmslt_vx": 124301, + "codegen.vmsltu_vv": 27835, + "codegen.vmsltu_vx": 124301, + "codegen.vmsne_vi": 90830, + "codegen.vmsne_vv": 27835, + "codegen.vmsne_vx": 124301, + "codegen.vmsof_m": 1724, + "codegen.vmul_vv": 24892, + "codegen.vmul_vx": 87325, + "codegen.vmulh_vv": 24892, + "codegen.vmulh_vx": 87325, + "codegen.vmulhsu_vv": 24892, + "codegen.vmulhsu_vx": 87325, + "codegen.vmulhu_vv": 24892, + "codegen.vmulhu_vx": 87325, + "codegen.vmv_s_x": 1300, + "codegen.vmv_v_i": 17659, + "codegen.vmv_v_v": 9922, + "codegen.vmv_v_x": 8212, + "codegen.vmv_x_s": 1777, + "codegen.vmv1r_v": 1723, + "codegen.vmv2r_v": 1829, + "codegen.vmv4r_v": 2067, + "codegen.vmv8r_v": 2549, + "codegen.vmxnor_mm": 10003, + "codegen.vmxor_mm": 10003, + "codegen.vnclip_wi": 158712, + "codegen.vnclip_wv": 64709, + "codegen.vnclip_wx": 204216, + "codegen.vnclipu_wi": 158712, + "codegen.vnclipu_wv": 64709, + "codegen.vnclipu_wx": 204216, + "codegen.vnmsac_vv": 25009, + "codegen.vnmsac_vx": 78060, + "codegen.vnmsub_vv": 25009, + "codegen.vnmsub_vx": 78060, + "codegen.vnsra_wi": 39993, + "codegen.vnsra_wv": 16493, + "codegen.vnsra_wx": 51369, + "codegen.vnsrl_wi": 39993, + "codegen.vnsrl_wv": 16493, + "codegen.vnsrl_wx": 51369, + "codegen.vor_vi": 50879, + "codegen.vor_vv": 24589, + "codegen.vor_vx": 64727, + "codegen.vredand_vs": 32469, + "codegen.vredmax_vs": 32469, + "codegen.vredmaxu_vs": 32469, + "codegen.vredmin_vs": 32469, + "codegen.vredminu_vs": 32469, + "codegen.vredor_vs": 32469, + "codegen.vredsum_vs": 32469, + "codegen.vredxor_vs": 32469, + "codegen.vrem_vv": 35150, + "codegen.vrem_vx": 207000, + "codegen.vremu_vv": 35160, + "codegen.vremu_vx": 216629, + "codegen.vrgather_vi": 96288, + "codegen.vrgather_vv": 179824, + "codegen.vrgather_vx": 104707, + "codegen.vrgatherei16_vv": 135227, + "codegen.vrsub_vi": 50825, + "codegen.vrsub_vx": 64652, + "codegen.vs1r_v": 638, + "codegen.vs2r_v": 654, + "codegen.vs4r_v": 686, + "codegen.vs8r_v": 955, + "codegen.vsadd_vi": 50825, + "codegen.vsadd_vv": 24589, + "codegen.vsadd_vx": 64652, + "codegen.vsaddu_vi": 50825, + "codegen.vsaddu_vv": 24589, + "codegen.vsaddu_vx": 64652, + "codegen.vsbc_vvm": 12251, + "codegen.vsbc_vxm": 45267, + "codegen.vse16_v": 4515, + "codegen.vse32_v": 3797, + "codegen.vse8_v": 5233, + "codegen.vsetivli": 436, + "codegen.vsetvl": 436, + "codegen.vsetvli": 436, + "codegen.vsext_vf2": 40172, + "codegen.vsext_vf4": 6653, + "codegen.vslide1down_vx": 1632656, + "codegen.vslide1up_vx": 1626944, + "codegen.vslidedown_vi": 1146614, + "codegen.vslidedown_vx": 1628768, + "codegen.vslideup_vi": 1142970, + "codegen.vslideup_vx": 1625444, + "codegen.vsll_vi": 64703, + "codegen.vsll_vv": 27408, + "codegen.vsll_vx": 83433, + "codegen.vsm_v": 740, + "codegen.vsmul_vv": 98305, + "codegen.vsmul_vx": 264300, + "codegen.vsoxei16_v": 40011, + "codegen.vsoxei32_v": 21251, + "codegen.vsoxei8_v": 58279, + "codegen.vsoxseg2ei16_v": 40264, + "codegen.vsoxseg2ei32_v": 22609, + "codegen.vsoxseg2ei8_v": 53932, + "codegen.vsoxseg3ei16_v": 29783, + "codegen.vsoxseg3ei32_v": 17481, + "codegen.vsoxseg3ei8_v": 39145, + "codegen.vsoxseg4ei16_v": 35566, + "codegen.vsoxseg4ei32_v": 19952, + "codegen.vsoxseg4ei8_v": 47658, + "codegen.vsoxseg5ei16_v": 21632, + "codegen.vsoxseg5ei32_v": 8766, + "codegen.vsoxseg5ei8_v": 30389, + "codegen.vsoxseg6ei16_v": 24248, + "codegen.vsoxseg6ei32_v": 9439, + "codegen.vsoxseg6ei8_v": 34448, + "codegen.vsoxseg7ei16_v": 26864, + "codegen.vsoxseg7ei32_v": 10112, + "codegen.vsoxseg7ei8_v": 38507, + "codegen.vsoxseg8ei16_v": 29480, + "codegen.vsoxseg8ei32_v": 10785, + "codegen.vsoxseg8ei8_v": 42566, + "codegen.vsra_vi": 64703, + "codegen.vsra_vv": 27408, + "codegen.vsra_vx": 83433, + "codegen.vsrl_vi": 64703, + "codegen.vsrl_vv": 27408, + "codegen.vsrl_vx": 83433, + "codegen.vsse16_v": 70636, + "codegen.vsse32_v": 44668, + "codegen.vsse8_v": 111268, + "codegen.vsseg2e16_v": 4092, + "codegen.vsseg2e32_v": 3286, + "codegen.vsseg2e8_v": 4898, + "codegen.vsseg3e16_v": 3447, + "codegen.vsseg3e32_v": 2513, + "codegen.vsseg3e8_v": 4381, + "codegen.vsseg4e16_v": 3622, + "codegen.vsseg4e32_v": 2640, + "codegen.vsseg4e8_v": 4604, + "codegen.vsseg5e16_v": 2800, + "codegen.vsseg5e32_v": 1610, + "codegen.vsseg5e8_v": 3990, + "codegen.vsseg6e16_v": 2896, + "codegen.vsseg6e32_v": 1658, + "codegen.vsseg6e8_v": 4134, + "codegen.vsseg7e16_v": 2992, + "codegen.vsseg7e32_v": 1706, + "codegen.vsseg7e8_v": 4278, + "codegen.vsseg8e16_v": 3088, + "codegen.vsseg8e32_v": 1754, + "codegen.vsseg8e8_v": 4422, + "codegen.vssra_vi": 257549, + "codegen.vssra_vv": 108372, + "codegen.vssra_vx": 495153, + "codegen.vssrl_vi": 257549, + "codegen.vssrl_vv": 108372, + "codegen.vssrl_vx": 495153, + "codegen.vssseg2e16_v": 63468, + "codegen.vssseg2e32_v": 38692, + "codegen.vssseg2e8_v": 109676, + "codegen.vssseg3e16_v": 50400, + "codegen.vssseg3e32_v": 26864, + "codegen.vssseg3e8_v": 86292, + "codegen.vssseg4e16_v": 59156, + "codegen.vssseg4e32_v": 30148, + "codegen.vssseg4e8_v": 104484, + "codegen.vssseg5e16_v": 40068, + "codegen.vssseg5e32_v": 14608, + "codegen.vssseg5e8_v": 78052, + "codegen.vssseg6e16_v": 44100, + "codegen.vssseg6e32_v": 15500, + "codegen.vssseg6e8_v": 87684, + "codegen.vssseg7e16_v": 48132, + "codegen.vssseg7e32_v": 16392, + "codegen.vssseg7e8_v": 97316, + "codegen.vssseg8e16_v": 52164, + "codegen.vssseg8e32_v": 17284, + "codegen.vssseg8e8_v": 106948, + "codegen.vssub_vv": 24589, + "codegen.vssub_vx": 95081, + "codegen.vssubu_vv": 24589, + "codegen.vssubu_vx": 95081, + "codegen.vsub_vv": 24589, + "codegen.vsub_vx": 95081, + "codegen.vsuxei16_v": 40011, + "codegen.vsuxei32_v": 21251, + "codegen.vsuxei8_v": 58279, + "codegen.vsuxseg2ei16_v": 40264, + "codegen.vsuxseg2ei32_v": 22609, + "codegen.vsuxseg2ei8_v": 53932, + "codegen.vsuxseg3ei16_v": 29783, + "codegen.vsuxseg3ei32_v": 17481, + "codegen.vsuxseg3ei8_v": 39145, + "codegen.vsuxseg4ei16_v": 35566, + "codegen.vsuxseg4ei32_v": 19952, + "codegen.vsuxseg4ei8_v": 47658, + "codegen.vsuxseg5ei16_v": 21632, + "codegen.vsuxseg5ei32_v": 8766, + "codegen.vsuxseg5ei8_v": 30389, + "codegen.vsuxseg6ei16_v": 24248, + "codegen.vsuxseg6ei32_v": 9439, + "codegen.vsuxseg6ei8_v": 34448, + "codegen.vsuxseg7ei16_v": 26864, + "codegen.vsuxseg7ei32_v": 10112, + "codegen.vsuxseg7ei8_v": 38507, + "codegen.vsuxseg8ei16_v": 29480, + "codegen.vsuxseg8ei32_v": 10785, + "codegen.vsuxseg8ei8_v": 42566, + "codegen.vwadd_vv": 14846, + "codegen.vwadd_vx": 40699, + "codegen.vwadd_wv": 16207, + "codegen.vwadd_wx": 47504, + "codegen.vwaddu_vv": 14846, + "codegen.vwaddu_vx": 40699, + "codegen.vwaddu_wv": 16207, + "codegen.vwaddu_wx": 47504, + "codegen.vwmacc_vv": 15775, + "codegen.vwmacc_vx": 58610, + "codegen.vwmaccsu_vv": 15775, + "codegen.vwmaccsu_vx": 58610, + "codegen.vwmaccu_vv": 15775, + "codegen.vwmaccu_vx": 58610, + "codegen.vwmaccus_vx": 58610, + "codegen.vwmul_vv": 14989, + "codegen.vwmul_vx": 54457, + "codegen.vwmulsu_vv": 14989, + "codegen.vwmulsu_vx": 54457, + "codegen.vwmulu_vv": 14989, + "codegen.vwmulu_vx": 54457, + "codegen.vwredsum_vs": 19425, + "codegen.vwredsumu_vs": 19425, + "codegen.vwsub_vv": 14846, + "codegen.vwsub_vx": 40699, + "codegen.vwsub_wv": 16207, + "codegen.vwsub_wx": 47504, + "codegen.vwsubu_vv": 14846, + "codegen.vwsubu_vx": 40699, + "codegen.vwsubu_wv": 16207, + "codegen.vwsubu_wx": 47504, + "codegen.vxor_vi": 50879, + "codegen.vxor_vv": 24589, + "codegen.vxor_vx": 64727, + "codegen.vzext_vf2": 40172, + "codegen.vzext_vf4": 6653, + "rvv_bench.ascii_to_utf16": 35463, + "rvv_bench.ascii_to_utf32": 9918, + "rvv_bench.byteswap": 106931, + "rvv_bench.chacha20": 3, + "rvv_bench.memcpy": 36460, + "rvv_bench.memset": 8322, + "rvv_bench.mergelines": 87073, + "rvv_bench.poly1305": 3, + "rvv_bench.strlen": 23510, + "rvv_bench.utf8_count": 185060 +} diff --git a/.github/designs/psyduck/t1emu.json b/.github/designs/psyduck/t1emu.json new file mode 100644 index 000000000..377189103 --- /dev/null +++ b/.github/designs/psyduck/t1emu.json @@ -0,0 +1,529 @@ +{ + "asm.mmm": 92623, + "asm.smoke": 4922, + "codegen.vaadd_vv": 98389, + "codegen.vaadd_vx": 260192, + "codegen.vaaddu_vv": 98389, + "codegen.vaaddu_vx": 260192, + "codegen.vadc_vim": 25307, + "codegen.vadc_vvm": 12503, + "codegen.vadc_vxm": 31889, + "codegen.vadd_vi": 51599, + "codegen.vadd_vv": 24937, + "codegen.vadd_vx": 65387, + "codegen.vand_vi": 51570, + "codegen.vand_vv": 24937, + "codegen.vand_vx": 65361, + "codegen.vandn_vv": 26030, + "codegen.vandn_vx": 79774, + "codegen.vasub_vv": 98389, + "codegen.vasub_vx": 260192, + "codegen.vasubu_vv": 98389, + "codegen.vasubu_vx": 260192, + "codegen.vbrev8_v": 6562, + "codegen.vbrev_v": 6562, + "codegen.vclz_v": 6562, + "codegen.vcompress_vm": 22201, + "codegen.vcpop_m": 2003, + "codegen.vcpop_v": 6562, + "codegen.vctz_v": 6562, + "codegen.vdiv_vv": 34209, + "codegen.vdiv_vx": 197052, + "codegen.vdivu_vv": 34305, + "codegen.vdivu_vx": 205045, + "codegen.vfadd_vf": 323156, + "codegen.vfadd_vv": 92389, + "codegen.vfclass_v": 6649, + "codegen.vfcvt_f_x_v": 6565, + "codegen.vfcvt_f_xu_v": 6559, + "codegen.vfcvt_rtz_x_f_v": 6579, + "codegen.vfcvt_rtz_xu_f_v": 6560, + "codegen.vfcvt_x_f_v": 6559, + "codegen.vfcvt_xu_f_v": 6559, + "codegen.vfdiv_vf": 668784, + "codegen.vfdiv_vv": 134005, + "codegen.vfirst_m": 1915, + "codegen.vfmacc_vf": 379509, + "codegen.vfmacc_vv": 95749, + "codegen.vfmadd_vf": 379509, + "codegen.vfmadd_vv": 95749, + "codegen.vfmax_vf": 323156, + "codegen.vfmax_vv": 92389, + "codegen.vfmerge_vfm": 185844, + "codegen.vfmin_vf": 323156, + "codegen.vfmin_vv": 92389, + "codegen.vfmsac_vf": 379509, + "codegen.vfmsac_vv": 95749, + "codegen.vfmsub_vf": 379509, + "codegen.vfmsub_vv": 95749, + "codegen.vfmul_vf": 323156, + "codegen.vfmul_vv": 92389, + "codegen.vfmv_f_s": 8091, + "codegen.vfmv_s_f": 766, + "codegen.vfmv_v_f": 2233, + "codegen.vfnmacc_vf": 379509, + "codegen.vfnmacc_vv": 95749, + "codegen.vfnmadd_vf": 379509, + "codegen.vfnmadd_vv": 95749, + "codegen.vfnmsac_vf": 379509, + "codegen.vfnmsac_vv": 95749, + "codegen.vfnmsub_vf": 379509, + "codegen.vfnmsub_vv": 95749, + "codegen.vfrdiv_vf": 668784, + "codegen.vfrec7_v": 6589, + "codegen.vfredmax_vs": 122757, + "codegen.vfredmin_vs": 122757, + "codegen.vfredosum_vs": 160996, + "codegen.vfredusum_vs": 122757, + "codegen.vfrsqrt7_v": 6568, + "codegen.vfrsub_vf": 323156, + "codegen.vfsgnj_vf": 277156, + "codegen.vfsgnj_vv": 92389, + "codegen.vfsgnjn_vf": 277156, + "codegen.vfsgnjn_vv": 92389, + "codegen.vfsgnjx_vf": 277156, + "codegen.vfsgnjx_vv": 92389, + "codegen.vfsqrt_v": 10373, + "codegen.vfsub_vf": 323156, + "codegen.vfsub_vv": 92389, + "codegen.vid_v": 17680, + "codegen.viota_m": 45144, + "codegen.vl1re16_v": 747, + "codegen.vl1re32_v": 747, + "codegen.vl1re8_v": 747, + "codegen.vl2re16_v": 781, + "codegen.vl2re32_v": 781, + "codegen.vl2re8_v": 781, + "codegen.vl4re16_v": 849, + "codegen.vl4re32_v": 849, + "codegen.vl4re8_v": 906, + "codegen.vl8re16_v": 988, + "codegen.vl8re32_v": 985, + "codegen.vl8re8_v": 985, + "codegen.vle16_v": 5670, + "codegen.vle16ff_v": 9977, + "codegen.vle32_v": 4790, + "codegen.vle32ff_v": 6758, + "codegen.vle8_v": 6536, + "codegen.vle8ff_v": 16410, + "codegen.vlm_v": 788, + "codegen.vloxei16_v": 30766, + "codegen.vloxei32_v": 18692, + "codegen.vloxei8_v": 43156, + "codegen.vloxseg2ei16_v": 28846, + "codegen.vloxseg2ei32_v": 18242, + "codegen.vloxseg2ei8_v": 35491, + "codegen.vloxseg3ei16_v": 20173, + "codegen.vloxseg3ei32_v": 13914, + "codegen.vloxseg3ei8_v": 26324, + "codegen.vloxseg4ei16_v": 22442, + "codegen.vloxseg4ei32_v": 14909, + "codegen.vloxseg4ei8_v": 30248, + "codegen.vloxseg5ei16_v": 12932, + "codegen.vloxseg5ei32_v": 7940, + "codegen.vloxseg5ei8_v": 18975, + "codegen.vloxseg6ei16_v": 13775, + "codegen.vloxseg6ei32_v": 8340, + "codegen.vloxseg6ei8_v": 20779, + "codegen.vloxseg7ei16_v": 14618, + "codegen.vloxseg7ei32_v": 8740, + "codegen.vloxseg7ei8_v": 22583, + "codegen.vloxseg8ei16_v": 15461, + "codegen.vloxseg8ei32_v": 9140, + "codegen.vloxseg8ei8_v": 24387, + "codegen.vlse16_v": 41963, + "codegen.vlse32_v": 27090, + "codegen.vlse8_v": 72846, + "codegen.vlseg2e16_v": 5039, + "codegen.vlseg2e32_v": 4056, + "codegen.vlseg2e8_v": 5991, + "codegen.vlseg3e16_v": 4223, + "codegen.vlseg3e32_v": 3072, + "codegen.vlseg3e8_v": 5377, + "codegen.vlseg4e16_v": 4351, + "codegen.vlseg4e32_v": 3161, + "codegen.vlseg4e8_v": 5531, + "codegen.vlseg5e16_v": 3408, + "codegen.vlseg5e32_v": 1979, + "codegen.vlseg5e8_v": 4927, + "codegen.vlseg6e16_v": 3470, + "codegen.vlseg6e32_v": 2021, + "codegen.vlseg6e8_v": 5018, + "codegen.vlseg7e16_v": 3532, + "codegen.vlseg7e32_v": 2062, + "codegen.vlseg7e8_v": 5124, + "codegen.vlseg8e16_v": 3590, + "codegen.vlseg8e32_v": 2101, + "codegen.vlseg8e8_v": 5213, + "codegen.vlsseg2e16_v": 37185, + "codegen.vlsseg2e32_v": 22252, + "codegen.vlsseg2e8_v": 64348, + "codegen.vlsseg3e16_v": 27427, + "codegen.vlsseg3e32_v": 14883, + "codegen.vlsseg3e8_v": 51435, + "codegen.vlsseg4e16_v": 31682, + "codegen.vlsseg4e32_v": 16453, + "codegen.vlsseg4e8_v": 62030, + "codegen.vlsseg5e16_v": 19604, + "codegen.vlsseg5e32_v": 9200, + "codegen.vlsseg5e8_v": 44162, + "codegen.vlsseg6e16_v": 21219, + "codegen.vlsseg6e32_v": 9860, + "codegen.vlsseg6e8_v": 49557, + "codegen.vlsseg7e16_v": 22834, + "codegen.vlsseg7e32_v": 10520, + "codegen.vlsseg7e8_v": 54952, + "codegen.vlsseg8e16_v": 24449, + "codegen.vlsseg8e32_v": 11180, + "codegen.vlsseg8e8_v": 60347, + "codegen.vluxei16_v": 30766, + "codegen.vluxei32_v": 18692, + "codegen.vluxei8_v": 43156, + "codegen.vluxseg2ei16_v": 28846, + "codegen.vluxseg2ei32_v": 18242, + "codegen.vluxseg2ei8_v": 35491, + "codegen.vluxseg3ei16_v": 20173, + "codegen.vluxseg3ei32_v": 13914, + "codegen.vluxseg3ei8_v": 26324, + "codegen.vluxseg4ei16_v": 22442, + "codegen.vluxseg4ei32_v": 14909, + "codegen.vluxseg4ei8_v": 30248, + "codegen.vluxseg5ei16_v": 12932, + "codegen.vluxseg5ei32_v": 7940, + "codegen.vluxseg5ei8_v": 18975, + "codegen.vluxseg6ei16_v": 13775, + "codegen.vluxseg6ei32_v": 8340, + "codegen.vluxseg6ei8_v": 20779, + "codegen.vluxseg7ei16_v": 14618, + "codegen.vluxseg7ei32_v": 8740, + "codegen.vluxseg7ei8_v": 22583, + "codegen.vluxseg8ei16_v": 15461, + "codegen.vluxseg8ei32_v": 9140, + "codegen.vluxseg8ei8_v": 24387, + "codegen.vmacc_vv": 25747, + "codegen.vmacc_vx": 77767, + "codegen.vmadc_vi": 36064, + "codegen.vmadc_vim": 38218, + "codegen.vmadc_vv": 11000, + "codegen.vmadc_vvm": 13202, + "codegen.vmadc_vx": 49936, + "codegen.vmadc_vxm": 51766, + "codegen.vmadd_vv": 25747, + "codegen.vmadd_vx": 77767, + "codegen.vmand_mm": 10038, + "codegen.vmandn_mm": 10038, + "codegen.vmax_vv": 24937, + "codegen.vmax_vx": 65387, + "codegen.vmaxu_vv": 24937, + "codegen.vmaxu_vx": 65387, + "codegen.vmerge_vim": 33608, + "codegen.vmerge_vvm": 14004, + "codegen.vmerge_vxm": 43336, + "codegen.vmfeq_vf": 559109, + "codegen.vmfeq_vv": 99636, + "codegen.vmfge_vf": 559109, + "codegen.vmfgt_vf": 559109, + "codegen.vmflt_vf": 559109, + "codegen.vmflt_vv": 99636, + "codegen.vmfne_vf": 559109, + "codegen.vmfne_vv": 99636, + "codegen.vmin_vv": 24937, + "codegen.vmin_vx": 65387, + "codegen.vminu_vv": 24937, + "codegen.vminu_vx": 65387, + "codegen.vmnand_mm": 10038, + "codegen.vmnor_mm": 10038, + "codegen.vmor_mm": 10038, + "codegen.vmorn_mm": 10038, + "codegen.vmsbc_vv": 11000, + "codegen.vmsbc_vvm": 13154, + "codegen.vmsbc_vx": 49774, + "codegen.vmsbc_vxm": 51766, + "codegen.vmsbf_m": 1762, + "codegen.vmseq_vi": 81465, + "codegen.vmseq_vv": 26638, + "codegen.vmseq_vx": 110910, + "codegen.vmsgt_vi": 81465, + "codegen.vmsgt_vv": 26518, + "codegen.vmsgt_vx": 110910, + "codegen.vmsgtu_vi": 81465, + "codegen.vmsgtu_vv": 26518, + "codegen.vmsgtu_vx": 110910, + "codegen.vmsif_m": 1762, + "codegen.vmsle_vi": 81465, + "codegen.vmsle_vv": 26638, + "codegen.vmsle_vx": 110910, + "codegen.vmsleu_vi": 81465, + "codegen.vmsleu_vv": 26638, + "codegen.vmsleu_vx": 110910, + "codegen.vmslt_vv": 26638, + "codegen.vmslt_vx": 110910, + "codegen.vmsltu_vv": 26638, + "codegen.vmsltu_vx": 110910, + "codegen.vmsne_vi": 81465, + "codegen.vmsne_vv": 26638, + "codegen.vmsne_vx": 110910, + "codegen.vmsof_m": 1762, + "codegen.vmul_vv": 25151, + "codegen.vmul_vx": 87365, + "codegen.vmulh_vv": 25151, + "codegen.vmulh_vx": 87365, + "codegen.vmulhsu_vv": 25151, + "codegen.vmulhsu_vx": 87365, + "codegen.vmulhu_vv": 25151, + "codegen.vmulhu_vx": 87365, + "codegen.vmv1r_v": 1755, + "codegen.vmv2r_v": 1861, + "codegen.vmv4r_v": 2099, + "codegen.vmv8r_v": 2581, + "codegen.vmv_s_x": 1356, + "codegen.vmv_v_i": 17850, + "codegen.vmv_v_v": 9959, + "codegen.vmv_v_x": 8280, + "codegen.vmv_x_s": 1836, + "codegen.vmxnor_mm": 10038, + "codegen.vmxor_mm": 10038, + "codegen.vnclip_wi": 157469, + "codegen.vnclip_wv": 64585, + "codegen.vnclip_wx": 202701, + "codegen.vnclipu_wi": 157469, + "codegen.vnclipu_wv": 64585, + "codegen.vnclipu_wx": 202701, + "codegen.vnmsac_vv": 25747, + "codegen.vnmsac_vx": 77767, + "codegen.vnmsub_vv": 25747, + "codegen.vnmsub_vx": 77767, + "codegen.vnsra_wi": 39707, + "codegen.vnsra_wv": 16486, + "codegen.vnsra_wx": 51015, + "codegen.vnsrl_wi": 39707, + "codegen.vnsrl_wv": 16486, + "codegen.vnsrl_wx": 51015, + "codegen.vor_vi": 51570, + "codegen.vor_vv": 24937, + "codegen.vor_vx": 65361, + "codegen.vredand_vs": 30605, + "codegen.vredmax_vs": 30605, + "codegen.vredmaxu_vs": 30605, + "codegen.vredmin_vs": 30605, + "codegen.vredminu_vs": 30605, + "codegen.vredor_vs": 30605, + "codegen.vredsum_vs": 30605, + "codegen.vredxor_vs": 30605, + "codegen.vrem_vv": 34209, + "codegen.vrem_vx": 197052, + "codegen.vremu_vv": 34305, + "codegen.vremu_vx": 205045, + "codegen.vrev8_v": 6562, + "codegen.vrgather_vi": 93882, + "codegen.vrgather_vv": 103314, + "codegen.vrgather_vx": 102302, + "codegen.vrgatherei16_vv": 76000, + "codegen.vrol_vv": 26030, + "codegen.vrol_vx": 79774, + "codegen.vror_vi": 60271, + "codegen.vror_vv": 26030, + "codegen.vror_vx": 79774, + "codegen.vrsub_vi": 51599, + "codegen.vrsub_vx": 65387, + "codegen.vs1r_v": 670, + "codegen.vs2r_v": 686, + "codegen.vs4r_v": 718, + "codegen.vs8r_v": 987, + "codegen.vsadd_vi": 51599, + "codegen.vsadd_vv": 24937, + "codegen.vsadd_vx": 65387, + "codegen.vsaddu_vi": 51599, + "codegen.vsaddu_vv": 24937, + "codegen.vsaddu_vx": 65387, + "codegen.vsbc_vvm": 12503, + "codegen.vsbc_vxm": 46493, + "codegen.vse16_v": 4547, + "codegen.vse32_v": 3829, + "codegen.vse8_v": 5265, + "codegen.vsetivli": 468, + "codegen.vsetvl": 468, + "codegen.vsetvli": 468, + "codegen.vsext_vf2": 23961, + "codegen.vsext_vf4": 4449, + "codegen.vslide1down_vx": 868128, + "codegen.vslide1up_vx": 862416, + "codegen.vslidedown_vi": 611166, + "codegen.vslidedown_vx": 863904, + "codegen.vslideup_vi": 607618, + "codegen.vslideup_vx": 861584, + "codegen.vsll_vi": 64394, + "codegen.vsll_vv": 27361, + "codegen.vsll_vx": 82829, + "codegen.vsm_v": 727, + "codegen.vsmul_vv": 99248, + "codegen.vsmul_vx": 265296, + "codegen.vsoxei16_v": 28791, + "codegen.vsoxei32_v": 17226, + "codegen.vsoxei8_v": 41216, + "codegen.vsoxseg2ei16_v": 27233, + "codegen.vsoxseg2ei32_v": 16910, + "codegen.vsoxseg2ei8_v": 33928, + "codegen.vsoxseg3ei16_v": 19123, + "codegen.vsoxseg3ei32_v": 12897, + "codegen.vsoxseg3ei8_v": 25426, + "codegen.vsoxseg4ei16_v": 21401, + "codegen.vsoxseg4ei32_v": 13886, + "codegen.vsoxseg4ei8_v": 29390, + "codegen.vsoxseg5ei16_v": 12654, + "codegen.vsoxseg5ei32_v": 7439, + "codegen.vsoxseg5ei8_v": 19055, + "codegen.vsoxseg6ei16_v": 13497, + "codegen.vsoxseg6ei32_v": 7839, + "codegen.vsoxseg6ei8_v": 20859, + "codegen.vsoxseg7ei16_v": 14340, + "codegen.vsoxseg7ei32_v": 8239, + "codegen.vsoxseg7ei8_v": 22663, + "codegen.vsoxseg8ei16_v": 15183, + "codegen.vsoxseg8ei32_v": 8639, + "codegen.vsoxseg8ei8_v": 24467, + "codegen.vsra_vi": 64394, + "codegen.vsra_vv": 27361, + "codegen.vsra_vx": 82829, + "codegen.vsrl_vi": 64394, + "codegen.vsrl_vv": 27361, + "codegen.vsrl_vx": 82829, + "codegen.vsse16_v": 50200, + "codegen.vsse32_v": 35880, + "codegen.vsse8_v": 77884, + "codegen.vsseg2e16_v": 4124, + "codegen.vsseg2e32_v": 3318, + "codegen.vsseg2e8_v": 4930, + "codegen.vsseg3e16_v": 3479, + "codegen.vsseg3e32_v": 2545, + "codegen.vsseg3e8_v": 4413, + "codegen.vsseg4e16_v": 3654, + "codegen.vsseg4e32_v": 2672, + "codegen.vsseg4e8_v": 4636, + "codegen.vsseg5e16_v": 2832, + "codegen.vsseg5e32_v": 1642, + "codegen.vsseg5e8_v": 4022, + "codegen.vsseg6e16_v": 2928, + "codegen.vsseg6e32_v": 1690, + "codegen.vsseg6e8_v": 4166, + "codegen.vsseg7e16_v": 3024, + "codegen.vsseg7e32_v": 1738, + "codegen.vsseg7e8_v": 4310, + "codegen.vsseg8e16_v": 3120, + "codegen.vsseg8e32_v": 1786, + "codegen.vsseg8e8_v": 4454, + "codegen.vssra_vi": 256217, + "codegen.vssra_vv": 108088, + "codegen.vssra_vx": 491072, + "codegen.vssrl_vi": 256217, + "codegen.vssrl_vv": 108088, + "codegen.vssrl_vx": 491072, + "codegen.vssseg2e16_v": 44252, + "codegen.vssseg2e32_v": 29628, + "codegen.vssseg2e8_v": 69020, + "codegen.vssseg3e16_v": 34464, + "codegen.vssseg3e32_v": 20968, + "codegen.vssseg3e8_v": 57464, + "codegen.vssseg4e16_v": 37908, + "codegen.vssseg4e32_v": 22276, + "codegen.vssseg4e8_v": 66020, + "codegen.vssseg5e16_v": 26368, + "codegen.vssseg5e32_v": 12836, + "codegen.vssseg5e8_v": 51504, + "codegen.vssseg6e16_v": 27660, + "codegen.vssseg6e32_v": 13364, + "codegen.vssseg6e8_v": 55820, + "codegen.vssseg7e16_v": 28952, + "codegen.vssseg7e32_v": 13892, + "codegen.vssseg7e8_v": 60136, + "codegen.vssseg8e16_v": 30244, + "codegen.vssseg8e32_v": 14420, + "codegen.vssseg8e8_v": 64452, + "codegen.vssub_vv": 24937, + "codegen.vssub_vx": 95633, + "codegen.vssubu_vv": 24937, + "codegen.vssubu_vx": 95633, + "codegen.vsub_vv": 24937, + "codegen.vsub_vx": 95633, + "codegen.vsuxei16_v": 28791, + "codegen.vsuxei32_v": 17226, + "codegen.vsuxei8_v": 41216, + "codegen.vsuxseg2ei16_v": 27233, + "codegen.vsuxseg2ei32_v": 16910, + "codegen.vsuxseg2ei8_v": 33928, + "codegen.vsuxseg3ei16_v": 19123, + "codegen.vsuxseg3ei32_v": 12897, + "codegen.vsuxseg3ei8_v": 25426, + "codegen.vsuxseg4ei16_v": 21401, + "codegen.vsuxseg4ei32_v": 13886, + "codegen.vsuxseg4ei8_v": 29390, + "codegen.vsuxseg5ei16_v": 12654, + "codegen.vsuxseg5ei32_v": 7439, + "codegen.vsuxseg5ei8_v": 19055, + "codegen.vsuxseg6ei16_v": 13497, + "codegen.vsuxseg6ei32_v": 7839, + "codegen.vsuxseg6ei8_v": 20859, + "codegen.vsuxseg7ei16_v": 14340, + "codegen.vsuxseg7ei32_v": 8239, + "codegen.vsuxseg7ei8_v": 22663, + "codegen.vsuxseg8ei16_v": 15183, + "codegen.vsuxseg8ei32_v": 8639, + "codegen.vsuxseg8ei8_v": 24467, + "codegen.vwadd_vv": 14875, + "codegen.vwadd_vx": 40655, + "codegen.vwadd_wv": 16201, + "codegen.vwadd_wx": 47507, + "codegen.vwaddu_vv": 14875, + "codegen.vwaddu_vx": 40655, + "codegen.vwaddu_wv": 16201, + "codegen.vwaddu_wx": 47507, + "codegen.vwmacc_vv": 15943, + "codegen.vwmacc_vx": 58343, + "codegen.vwmaccsu_vv": 15943, + "codegen.vwmaccsu_vx": 58343, + "codegen.vwmaccu_vv": 15943, + "codegen.vwmaccu_vx": 58343, + "codegen.vwmaccus_vx": 58343, + "codegen.vwmul_vv": 15019, + "codegen.vwmul_vx": 54415, + "codegen.vwmulsu_vv": 15019, + "codegen.vwmulsu_vx": 54415, + "codegen.vwmulu_vv": 15019, + "codegen.vwmulu_vx": 54415, + "codegen.vwredsum_vs": 18225, + "codegen.vwredsumu_vs": 18225, + "codegen.vwsll_vi": 34918, + "codegen.vwsll_vv": 15336, + "codegen.vwsll_vx": 48230, + "codegen.vwsub_vv": 14875, + "codegen.vwsub_vx": 40655, + "codegen.vwsub_wv": 16201, + "codegen.vwsub_wx": 47507, + "codegen.vwsubu_vv": 14875, + "codegen.vwsubu_vx": 40655, + "codegen.vwsubu_wv": 16201, + "codegen.vwsubu_wx": 47507, + "codegen.vxor_vi": 51570, + "codegen.vxor_vv": 24937, + "codegen.vxor_vx": 65361, + "codegen.vzext_vf2": 23961, + "codegen.vzext_vf4": 4449, + "intrinsic.conv2d_less_m2": 2647, + "intrinsic.linear_normalization": 3333, + "intrinsic.softmax": 8400, + "mlir.hello": 145, + "mlir.rvv_vp_intrinsic_add": 435, + "mlir.rvv_vp_intrinsic_add_scalable": 583, + "mlir.stripmining": 26790, + "rvv_bench.ascii_to_utf16": 39155, + "rvv_bench.ascii_to_utf32": 11277, + "rvv_bench.byteswap": 114251, + "rvv_bench.chacha20": 3, + "rvv_bench.mandelbrot": 257255, + "rvv_bench.memcpy": 43841, + "rvv_bench.memset": 14569, + "rvv_bench.mergelines": 89486, + "rvv_bench.poly1305": 3, + "rvv_bench.strlen": 30374, + "rvv_bench.utf8_count": 204907 +} diff --git a/.github/designs/sandslash/t1emu.json b/.github/designs/sandslash/t1emu.json new file mode 100644 index 000000000..390a0ca68 --- /dev/null +++ b/.github/designs/sandslash/t1emu.json @@ -0,0 +1,448 @@ +{ + "mlir.rvv_vp_intrinsic_add": 428, + "mlir.rvv_vp_intrinsic_add_scalable": 650, + "mlir.hello": 115, + "mlir.stripmining": 3598, + "asm.mmm": 91448, + "asm.smoke": 3581, + "intrinsic.conv2d_less_m2": 2597, + "codegen.vaadd_vv": 125559, + "codegen.vaadd_vx": 342898, + "codegen.vaaddu_vv": 125559, + "codegen.vaaddu_vx": 342898, + "codegen.vadc_vim": 32166, + "codegen.vadc_vvm": 15837, + "codegen.vadc_vxm": 41144, + "codegen.vadd_vi": 67050, + "codegen.vadd_vv": 31992, + "codegen.vadd_vx": 86326, + "codegen.vand_vi": 67225, + "codegen.vand_vv": 31992, + "codegen.vand_vx": 86474, + "codegen.vasub_vv": 125559, + "codegen.vasub_vx": 342898, + "codegen.vasubu_vv": 125559, + "codegen.vasubu_vx": 342898, + "codegen.vcompress_vm": 97725, + "codegen.vcpop_m": 2806, + "codegen.vdiv_vv": 49633, + "codegen.vdiv_vx": 344558, + "codegen.vdivu_vv": 49886, + "codegen.vdivu_vx": 360739, + "codegen.vfirst_m": 2334, + "codegen.vid_v": 23785, + "codegen.viota_m": 196317, + "codegen.vl1re16_v": 1133, + "codegen.vl1re32_v": 1133, + "codegen.vl1re8_v": 1133, + "codegen.vl2re16_v": 1201, + "codegen.vl2re32_v": 1201, + "codegen.vl2re8_v": 1201, + "codegen.vl4re16_v": 1337, + "codegen.vl4re32_v": 1337, + "codegen.vl4re8_v": 1393, + "codegen.vl8re16_v": 1619, + "codegen.vl8re32_v": 1609, + "codegen.vl8re8_v": 1609, + "codegen.vle16_v": 7522, + "codegen.vle16ff_v": 41515, + "codegen.vle32_v": 6529, + "codegen.vle32ff_v": 22328, + "codegen.vle8_v": 8474, + "codegen.vle8ff_v": 76128, + "codegen.vlm_v": 1506, + "codegen.vloxei16_v": 102507, + "codegen.vloxei32_v": 48393, + "codegen.vloxei8_v": 167800, + "codegen.vloxseg2ei16_v": 110157, + "codegen.vloxseg2ei32_v": 57584, + "codegen.vloxseg2ei8_v": 159686, + "codegen.vloxseg3ei16_v": 82350, + "codegen.vloxseg3ei32_v": 44377, + "codegen.vloxseg3ei8_v": 113469, + "codegen.vloxseg4ei16_v": 104077, + "codegen.vloxseg4ei32_v": 54220, + "codegen.vloxseg4ei8_v": 145397, + "codegen.vloxseg5ei16_v": 57782, + "codegen.vloxseg5ei32_v": 25646, + "codegen.vloxseg5ei8_v": 90844, + "codegen.vloxseg6ei16_v": 66883, + "codegen.vloxseg6ei32_v": 29056, + "codegen.vloxseg6ei8_v": 106259, + "codegen.vloxseg7ei16_v": 76009, + "codegen.vloxseg7ei32_v": 32475, + "codegen.vloxseg7ei8_v": 121716, + "codegen.vloxseg8ei16_v": 85110, + "codegen.vloxseg8ei32_v": 35885, + "codegen.vloxseg8ei8_v": 137131, + "codegen.vlse16_v": 197483, + "codegen.vlse32_v": 102928, + "codegen.vlse8_v": 369172, + "codegen.vlseg2e16_v": 6931, + "codegen.vlseg2e32_v": 5788, + "codegen.vlseg2e8_v": 8086, + "codegen.vlseg3e16_v": 6079, + "codegen.vlseg3e32_v": 4554, + "codegen.vlseg3e8_v": 7517, + "codegen.vlseg4e16_v": 6381, + "codegen.vlseg4e32_v": 4722, + "codegen.vlseg4e8_v": 7865, + "codegen.vlseg5e16_v": 5282, + "codegen.vlseg5e32_v": 3045, + "codegen.vlseg5e8_v": 7438, + "codegen.vlseg6e16_v": 5432, + "codegen.vlseg6e32_v": 3109, + "codegen.vlseg6e8_v": 7639, + "codegen.vlseg7e16_v": 5580, + "codegen.vlseg7e32_v": 3173, + "codegen.vlseg7e8_v": 7839, + "codegen.vlseg8e16_v": 5731, + "codegen.vlseg8e32_v": 3237, + "codegen.vlseg8e8_v": 8041, + "codegen.vlsseg2e16_v": 184819, + "codegen.vlsseg2e32_v": 97614, + "codegen.vlsseg2e8_v": 374773, + "codegen.vlsseg3e16_v": 142689, + "codegen.vlsseg3e32_v": 62585, + "codegen.vlsseg3e8_v": 283734, + "codegen.vlsseg4e16_v": 183630, + "codegen.vlsseg4e32_v": 78470, + "codegen.vlsseg4e8_v": 371218, + "codegen.vlsseg5e16_v": 105411, + "codegen.vlsseg5e32_v": 37177, + "codegen.vlsseg5e8_v": 259240, + "codegen.vlsseg6e16_v": 122921, + "codegen.vlsseg6e32_v": 42787, + "codegen.vlsseg6e8_v": 305594, + "codegen.vlsseg7e16_v": 140486, + "codegen.vlsseg7e32_v": 48397, + "codegen.vlsseg7e8_v": 352090, + "codegen.vlsseg8e16_v": 157996, + "codegen.vlsseg8e32_v": 54007, + "codegen.vlsseg8e8_v": 398445, + "codegen.vluxei16_v": 102507, + "codegen.vluxei32_v": 48393, + "codegen.vluxei8_v": 167800, + "codegen.vluxseg2ei16_v": 110157, + "codegen.vluxseg2ei32_v": 57584, + "codegen.vluxseg2ei8_v": 159686, + "codegen.vluxseg3ei16_v": 82350, + "codegen.vluxseg3ei32_v": 44377, + "codegen.vluxseg3ei8_v": 113469, + "codegen.vluxseg4ei16_v": 104077, + "codegen.vluxseg4ei32_v": 54220, + "codegen.vluxseg4ei8_v": 145397, + "codegen.vluxseg5ei16_v": 57782, + "codegen.vluxseg5ei32_v": 25646, + "codegen.vluxseg5ei8_v": 90844, + "codegen.vluxseg6ei16_v": 66883, + "codegen.vluxseg6ei32_v": 29056, + "codegen.vluxseg6ei8_v": 106259, + "codegen.vluxseg7ei16_v": 76009, + "codegen.vluxseg7ei32_v": 32475, + "codegen.vluxseg7ei8_v": 121716, + "codegen.vluxseg8ei16_v": 85110, + "codegen.vluxseg8ei32_v": 35885, + "codegen.vluxseg8ei8_v": 137131, + "codegen.vmacc_vv": 33181, + "codegen.vmacc_vx": 97956, + "codegen.vmadc_vi": 57251, + "codegen.vmadc_vim": 59675, + "codegen.vmadc_vv": 16586, + "codegen.vmadc_vvm": 19058, + "codegen.vmadc_vx": 79316, + "codegen.vmadc_vxm": 81416, + "codegen.vmadd_vv": 33181, + "codegen.vmadd_vx": 97956, + "codegen.vmand_mm": 13213, + "codegen.vmandn_mm": 13213, + "codegen.vmax_vv": 31992, + "codegen.vmax_vx": 86326, + "codegen.vmaxu_vv": 31992, + "codegen.vmaxu_vx": 86326, + "codegen.vmerge_vim": 50393, + "codegen.vmerge_vvm": 18886, + "codegen.vmerge_vxm": 66548, + "codegen.vmin_vv": 31992, + "codegen.vmin_vx": 86326, + "codegen.vminu_vv": 31992, + "codegen.vminu_vx": 86326, + "codegen.vmnand_mm": 13213, + "codegen.vmnor_mm": 13213, + "codegen.vmor_mm": 13213, + "codegen.vmorn_mm": 13213, + "codegen.vmsbc_vv": 16586, + "codegen.vmsbc_vvm": 19010, + "codegen.vmsbc_vx": 79154, + "codegen.vmsbc_vxm": 81416, + "codegen.vmsbf_m": 2230, + "codegen.vmseq_vi": 167266, + "codegen.vmseq_vv": 44158, + "codegen.vmseq_vx": 231637, + "codegen.vmsgt_vi": 167266, + "codegen.vmsgt_vv": 44109, + "codegen.vmsgt_vx": 231637, + "codegen.vmsgtu_vi": 167266, + "codegen.vmsgtu_vv": 44109, + "codegen.vmsgtu_vx": 231637, + "codegen.vmsif_m": 2230, + "codegen.vmsle_vi": 167266, + "codegen.vmsle_vv": 44158, + "codegen.vmsle_vx": 231637, + "codegen.vmsleu_vi": 167266, + "codegen.vmsleu_vv": 44158, + "codegen.vmsleu_vx": 231637, + "codegen.vmslt_vv": 44158, + "codegen.vmslt_vx": 231637, + "codegen.vmsltu_vv": 44158, + "codegen.vmsltu_vx": 231637, + "codegen.vmsne_vi": 167266, + "codegen.vmsne_vv": 44158, + "codegen.vmsne_vx": 231637, + "codegen.vmsof_m": 2230, + "codegen.vmul_vv": 32377, + "codegen.vmul_vx": 116506, + "codegen.vmulh_vv": 32377, + "codegen.vmulh_vx": 116506, + "codegen.vmulhsu_vv": 32377, + "codegen.vmulhsu_vx": 116506, + "codegen.vmulhu_vv": 32377, + "codegen.vmulhu_vx": 116506, + "codegen.vmv_s_x": 1741, + "codegen.vmv_v_i": 27467, + "codegen.vmv_v_v": 13837, + "codegen.vmv_v_x": 11282, + "codegen.vmv_x_s": 2293, + "codegen.vmv1r_v": 2213, + "codegen.vmv2r_v": 2451, + "codegen.vmv4r_v": 3137, + "codegen.vmv8r_v": 4378, + "codegen.vmxnor_mm": 13213, + "codegen.vmxor_mm": 13213, + "codegen.vnclip_wi": 220694, + "codegen.vnclip_wv": 82323, + "codegen.vnclip_wx": 291346, + "codegen.vnclipu_wi": 220694, + "codegen.vnclipu_wv": 82323, + "codegen.vnclipu_wx": 291346, + "codegen.vnmsac_vv": 33181, + "codegen.vnmsac_vx": 97956, + "codegen.vnmsub_vv": 33181, + "codegen.vnmsub_vx": 97956, + "codegen.vnsra_wi": 55775, + "codegen.vnsra_wv": 21183, + "codegen.vnsra_wx": 73438, + "codegen.vnsrl_wi": 55775, + "codegen.vnsrl_wv": 21183, + "codegen.vnsrl_wx": 73438, + "codegen.vor_vi": 67225, + "codegen.vor_vv": 31992, + "codegen.vor_vx": 86474, + "codegen.vredand_vs": 45586, + "codegen.vredmax_vs": 45586, + "codegen.vredmaxu_vs": 45586, + "codegen.vredmin_vs": 45586, + "codegen.vredminu_vs": 45586, + "codegen.vredor_vs": 45586, + "codegen.vredsum_vs": 45586, + "codegen.vredxor_vs": 45586, + "codegen.vrem_vv": 49633, + "codegen.vrem_vx": 344558, + "codegen.vremu_vv": 49886, + "codegen.vremu_vx": 360739, + "codegen.vrgather_vi": 134313, + "codegen.vrgather_vv": 637731, + "codegen.vrgather_vx": 156270, + "codegen.vrgatherei16_vv": 467092, + "codegen.vrsub_vi": 67050, + "codegen.vrsub_vx": 86326, + "codegen.vs1r_v": 1038, + "codegen.vs2r_v": 1070, + "codegen.vs4r_v": 1177, + "codegen.vs8r_v": 1624, + "codegen.vsadd_vi": 67050, + "codegen.vsadd_vv": 31992, + "codegen.vsadd_vx": 86326, + "codegen.vsaddu_vi": 67050, + "codegen.vsaddu_vv": 31992, + "codegen.vsaddu_vx": 86326, + "codegen.vsbc_vvm": 15837, + "codegen.vsbc_vxm": 60276, + "codegen.vse16_v": 6057, + "codegen.vse32_v": 5243, + "codegen.vse8_v": 6871, + "codegen.vsetivli": 820, + "codegen.vsetvl": 820, + "codegen.vsetvli": 820, + "codegen.vsext_vf2": 135647, + "codegen.vsext_vf4": 20033, + "codegen.vslide1down_vx": 6153515, + "codegen.vslide1up_vx": 6147849, + "codegen.vslidedown_vi": 4312523, + "codegen.vslidedown_vx": 6150308, + "codegen.vslideup_vi": 4309086, + "codegen.vslideup_vx": 6147024, + "codegen.vsll_vi": 95583, + "codegen.vsll_vv": 36935, + "codegen.vsll_vx": 125888, + "codegen.vsm_v": 1427, + "codegen.vsmul_vv": 127099, + "codegen.vsmul_vx": 351610, + "codegen.vsoxei16_v": 101439, + "codegen.vsoxei32_v": 47453, + "codegen.vsoxei8_v": 167037, + "codegen.vsoxseg2ei16_v": 109112, + "codegen.vsoxseg2ei32_v": 56521, + "codegen.vsoxseg2ei8_v": 158927, + "codegen.vsoxseg3ei16_v": 81782, + "codegen.vsoxseg3ei32_v": 43461, + "codegen.vsoxseg3ei8_v": 113326, + "codegen.vsoxseg4ei16_v": 103043, + "codegen.vsoxseg4ei32_v": 53010, + "codegen.vsoxseg4ei8_v": 144643, + "codegen.vsoxseg5ei16_v": 57777, + "codegen.vsoxseg5ei32_v": 24979, + "codegen.vsoxseg5ei8_v": 91447, + "codegen.vsoxseg6ei16_v": 66796, + "codegen.vsoxseg6ei32_v": 28347, + "codegen.vsoxseg6ei8_v": 106755, + "codegen.vsoxseg7ei16_v": 75815, + "codegen.vsoxseg7ei32_v": 31715, + "codegen.vsoxseg7ei8_v": 122063, + "codegen.vsoxseg8ei16_v": 84834, + "codegen.vsoxseg8ei32_v": 35083, + "codegen.vsoxseg8ei8_v": 137371, + "codegen.vsra_vi": 95583, + "codegen.vsra_vv": 36935, + "codegen.vsra_vx": 125888, + "codegen.vsrl_vi": 95583, + "codegen.vsrl_vv": 36935, + "codegen.vsrl_vx": 125888, + "codegen.vsse16_v": 182494, + "codegen.vsse32_v": 103582, + "codegen.vsse8_v": 323930, + "codegen.vsseg2e16_v": 5527, + "codegen.vsseg2e32_v": 4561, + "codegen.vsseg2e8_v": 6515, + "codegen.vsseg3e16_v": 4817, + "codegen.vsseg3e32_v": 3635, + "codegen.vsseg3e8_v": 6023, + "codegen.vsseg4e16_v": 5088, + "codegen.vsseg4e32_v": 3826, + "codegen.vsseg4e8_v": 6374, + "codegen.vsseg5e16_v": 4115, + "codegen.vsseg5e32_v": 2441, + "codegen.vsseg5e8_v": 5777, + "codegen.vsseg6e16_v": 4329, + "codegen.vsseg6e32_v": 2575, + "codegen.vsseg6e8_v": 6089, + "codegen.vsseg7e16_v": 4488, + "codegen.vsseg7e32_v": 2654, + "codegen.vsseg7e8_v": 6328, + "codegen.vsseg8e16_v": 4595, + "codegen.vsseg8e32_v": 2705, + "codegen.vsseg8e8_v": 6521, + "codegen.vssra_vi": 379923, + "codegen.vssra_vv": 145331, + "codegen.vssra_vx": 754907, + "codegen.vssrl_vi": 379923, + "codegen.vssrl_vv": 145331, + "codegen.vssrl_vx": 754907, + "codegen.vssseg2e16_v": 169818, + "codegen.vssseg2e32_v": 96342, + "codegen.vssseg2e8_v": 326214, + "codegen.vssseg3e16_v": 133930, + "codegen.vssseg3e32_v": 64534, + "codegen.vssseg3e8_v": 252550, + "codegen.vssseg4e16_v": 166254, + "codegen.vssseg4e32_v": 77258, + "codegen.vssseg4e8_v": 320562, + "codegen.vssseg5e16_v": 101702, + "codegen.vssseg5e32_v": 38782, + "codegen.vssseg5e8_v": 232282, + "codegen.vssseg6e16_v": 115618, + "codegen.vssseg6e32_v": 43270, + "codegen.vssseg6e8_v": 269014, + "codegen.vssseg7e16_v": 129534, + "codegen.vssseg7e32_v": 47758, + "codegen.vssseg7e8_v": 305746, + "codegen.vssseg8e16_v": 143450, + "codegen.vssseg8e32_v": 52246, + "codegen.vssseg8e8_v": 342478, + "codegen.vssub_vv": 31992, + "codegen.vssub_vx": 127165, + "codegen.vssubu_vv": 31992, + "codegen.vssubu_vx": 127165, + "codegen.vsub_vv": 31992, + "codegen.vsub_vx": 127165, + "codegen.vsuxei16_v": 101439, + "codegen.vsuxei32_v": 47453, + "codegen.vsuxei8_v": 167037, + "codegen.vsuxseg2ei16_v": 109112, + "codegen.vsuxseg2ei32_v": 56521, + "codegen.vsuxseg2ei8_v": 158927, + "codegen.vsuxseg3ei16_v": 81782, + "codegen.vsuxseg3ei32_v": 43461, + "codegen.vsuxseg3ei8_v": 113326, + "codegen.vsuxseg4ei16_v": 103043, + "codegen.vsuxseg4ei32_v": 53010, + "codegen.vsuxseg4ei8_v": 144643, + "codegen.vsuxseg5ei16_v": 57777, + "codegen.vsuxseg5ei32_v": 24979, + "codegen.vsuxseg5ei8_v": 91447, + "codegen.vsuxseg6ei16_v": 66796, + "codegen.vsuxseg6ei32_v": 28347, + "codegen.vsuxseg6ei8_v": 106755, + "codegen.vsuxseg7ei16_v": 75815, + "codegen.vsuxseg7ei32_v": 31715, + "codegen.vsuxseg7ei8_v": 122063, + "codegen.vsuxseg8ei16_v": 84834, + "codegen.vsuxseg8ei32_v": 35083, + "codegen.vsuxseg8ei8_v": 137371, + "codegen.vwadd_vv": 18915, + "codegen.vwadd_vx": 54911, + "codegen.vwadd_wv": 20869, + "codegen.vwadd_wx": 64084, + "codegen.vwaddu_vv": 18915, + "codegen.vwaddu_vx": 54911, + "codegen.vwaddu_wv": 20869, + "codegen.vwaddu_wx": 64084, + "codegen.vwmacc_vv": 20355, + "codegen.vwmacc_vx": 73662, + "codegen.vwmaccsu_vv": 20355, + "codegen.vwmaccsu_vx": 73662, + "codegen.vwmaccu_vv": 20355, + "codegen.vwmaccu_vx": 73662, + "codegen.vwmaccus_vx": 73662, + "codegen.vwmul_vv": 19082, + "codegen.vwmul_vx": 73102, + "codegen.vwmulsu_vv": 19082, + "codegen.vwmulsu_vx": 73102, + "codegen.vwmulu_vv": 19082, + "codegen.vwmulu_vx": 73102, + "codegen.vwredsum_vs": 27250, + "codegen.vwredsumu_vs": 27250, + "codegen.vwsub_vv": 18915, + "codegen.vwsub_vx": 54911, + "codegen.vwsub_wv": 20869, + "codegen.vwsub_wx": 64084, + "codegen.vwsubu_vv": 18915, + "codegen.vwsubu_vx": 54911, + "codegen.vwsubu_wv": 20869, + "codegen.vwsubu_wx": 64084, + "codegen.vxor_vi": 67225, + "codegen.vxor_vv": 31992, + "codegen.vxor_vx": 86474, + "codegen.vzext_vf2": 135647, + "codegen.vzext_vf4": 20033, + "rvv_bench.ascii_to_utf16": 31642, + "rvv_bench.ascii_to_utf32": 9368, + "rvv_bench.byteswap": 102376, + "rvv_bench.chacha20": 3, + "rvv_bench.memcpy": 32155, + "rvv_bench.memset": 5188, + "rvv_bench.mergelines": 103067, + "rvv_bench.poly1305": 3, + "rvv_bench.strlen": 19386, + "rvv_bench.utf8_count": 154750 +} diff --git a/.github/designs/t1rocket/t1rocketemu.json b/.github/designs/t1rocket/t1rocketemu.json new file mode 100644 index 000000000..d508dcf3e --- /dev/null +++ b/.github/designs/t1rocket/t1rocketemu.json @@ -0,0 +1,517 @@ +{ + "pytorch.demo": 76, + "pytorch.lenet": 394687, + "pytorch.matmul": 14497, + "mlir.rvv_vp_intrinsic_add": 435, + "mlir.rvv_vp_intrinsic_add_scalable": 583, + "mlir.hello": 145, + "mlir.stripmining": 26790, + "asm.mmm": 92623, + "asm.smoke": 4922, + "intrinsic.conv2d_less_m2": 2647, + "intrinsic.linear_normalization": 3333, + "intrinsic.softmax": 8400, + "codegen.vaadd_vv": 98389, + "codegen.vaadd_vx": 260192, + "codegen.vaaddu_vv": 98389, + "codegen.vaaddu_vx": 260192, + "codegen.vadc_vim": 25307, + "codegen.vadc_vvm": 12503, + "codegen.vadc_vxm": 31889, + "codegen.vadd_vi": 51599, + "codegen.vadd_vv": 24937, + "codegen.vadd_vx": 65387, + "codegen.vand_vi": 51570, + "codegen.vand_vv": 24937, + "codegen.vand_vx": 65361, + "codegen.vasub_vv": 98389, + "codegen.vasub_vx": 260192, + "codegen.vasubu_vv": 98389, + "codegen.vasubu_vx": 260192, + "codegen.vcompress_vm": 22201, + "codegen.vcpop_m": 2003, + "codegen.vdiv_vv": 34209, + "codegen.vdiv_vx": 197052, + "codegen.vdivu_vv": 34305, + "codegen.vdivu_vx": 205045, + "codegen.vfirst_m": 1915, + "codegen.vid_v": 17680, + "codegen.viota_m": 45144, + "codegen.vl1re16_v": 747, + "codegen.vl1re32_v": 747, + "codegen.vl1re8_v": 747, + "codegen.vl2re16_v": 781, + "codegen.vl2re32_v": 781, + "codegen.vl2re8_v": 781, + "codegen.vl4re16_v": 849, + "codegen.vl4re32_v": 849, + "codegen.vl4re8_v": 906, + "codegen.vl8re16_v": 988, + "codegen.vl8re32_v": 985, + "codegen.vl8re8_v": 985, + "codegen.vle16_v": 5670, + "codegen.vle16ff_v": 9977, + "codegen.vle32_v": 4790, + "codegen.vle32ff_v": 6758, + "codegen.vle8_v": 6536, + "codegen.vle8ff_v": 16410, + "codegen.vlm_v": 788, + "codegen.vloxei16_v": 30766, + "codegen.vloxei32_v": 18692, + "codegen.vloxei8_v": 43156, + "codegen.vloxseg2ei16_v": 28846, + "codegen.vloxseg2ei32_v": 18242, + "codegen.vloxseg2ei8_v": 35491, + "codegen.vloxseg3ei16_v": 20173, + "codegen.vloxseg3ei32_v": 13914, + "codegen.vloxseg3ei8_v": 26324, + "codegen.vloxseg4ei16_v": 22442, + "codegen.vloxseg4ei32_v": 14909, + "codegen.vloxseg4ei8_v": 30248, + "codegen.vloxseg5ei16_v": 12932, + "codegen.vloxseg5ei32_v": 7940, + "codegen.vloxseg5ei8_v": 18975, + "codegen.vloxseg6ei16_v": 13775, + "codegen.vloxseg6ei32_v": 8340, + "codegen.vloxseg6ei8_v": 20779, + "codegen.vloxseg7ei16_v": 14618, + "codegen.vloxseg7ei32_v": 8740, + "codegen.vloxseg7ei8_v": 22583, + "codegen.vloxseg8ei16_v": 15461, + "codegen.vloxseg8ei32_v": 9140, + "codegen.vloxseg8ei8_v": 24387, + "codegen.vlse16_v": 41963, + "codegen.vlse32_v": 27090, + "codegen.vlse8_v": 72846, + "codegen.vlseg2e16_v": 5039, + "codegen.vlseg2e32_v": 4056, + "codegen.vlseg2e8_v": 5991, + "codegen.vlseg3e16_v": 4223, + "codegen.vlseg3e32_v": 3072, + "codegen.vlseg3e8_v": 5377, + "codegen.vlseg4e16_v": 4351, + "codegen.vlseg4e32_v": 3161, + "codegen.vlseg4e8_v": 5531, + "codegen.vlseg5e16_v": 3408, + "codegen.vlseg5e32_v": 1979, + "codegen.vlseg5e8_v": 4927, + "codegen.vlseg6e16_v": 3470, + "codegen.vlseg6e32_v": 2021, + "codegen.vlseg6e8_v": 5018, + "codegen.vlseg7e16_v": 3532, + "codegen.vlseg7e32_v": 2062, + "codegen.vlseg7e8_v": 5124, + "codegen.vlseg8e16_v": 3590, + "codegen.vlseg8e32_v": 2101, + "codegen.vlseg8e8_v": 5213, + "codegen.vlsseg2e16_v": 37185, + "codegen.vlsseg2e32_v": 22252, + "codegen.vlsseg2e8_v": 64348, + "codegen.vlsseg3e16_v": 27427, + "codegen.vlsseg3e32_v": 14883, + "codegen.vlsseg3e8_v": 51435, + "codegen.vlsseg4e16_v": 31682, + "codegen.vlsseg4e32_v": 16453, + "codegen.vlsseg4e8_v": 62030, + "codegen.vlsseg5e16_v": 19604, + "codegen.vlsseg5e32_v": 9200, + "codegen.vlsseg5e8_v": 44162, + "codegen.vlsseg6e16_v": 21219, + "codegen.vlsseg6e32_v": 9860, + "codegen.vlsseg6e8_v": 49557, + "codegen.vlsseg7e16_v": 22834, + "codegen.vlsseg7e32_v": 10520, + "codegen.vlsseg7e8_v": 54952, + "codegen.vlsseg8e16_v": 24449, + "codegen.vlsseg8e32_v": 11180, + "codegen.vlsseg8e8_v": 60347, + "codegen.vluxei16_v": 30766, + "codegen.vluxei32_v": 18692, + "codegen.vluxei8_v": 43156, + "codegen.vluxseg2ei16_v": 28846, + "codegen.vluxseg2ei32_v": 18242, + "codegen.vluxseg2ei8_v": 35491, + "codegen.vluxseg3ei16_v": 20173, + "codegen.vluxseg3ei32_v": 13914, + "codegen.vluxseg3ei8_v": 26324, + "codegen.vluxseg4ei16_v": 22442, + "codegen.vluxseg4ei32_v": 14909, + "codegen.vluxseg4ei8_v": 30248, + "codegen.vluxseg5ei16_v": 12932, + "codegen.vluxseg5ei32_v": 7940, + "codegen.vluxseg5ei8_v": 18975, + "codegen.vluxseg6ei16_v": 13775, + "codegen.vluxseg6ei32_v": 8340, + "codegen.vluxseg6ei8_v": 20779, + "codegen.vluxseg7ei16_v": 14618, + "codegen.vluxseg7ei32_v": 8740, + "codegen.vluxseg7ei8_v": 22583, + "codegen.vluxseg8ei16_v": 15461, + "codegen.vluxseg8ei32_v": 9140, + "codegen.vluxseg8ei8_v": 24387, + "codegen.vmacc_vv": 25747, + "codegen.vmacc_vx": 77767, + "codegen.vmadc_vi": 36064, + "codegen.vmadc_vim": 38218, + "codegen.vmadc_vv": 11000, + "codegen.vmadc_vvm": 13202, + "codegen.vmadc_vx": 49936, + "codegen.vmadc_vxm": 51766, + "codegen.vmadd_vv": 25747, + "codegen.vmadd_vx": 77767, + "codegen.vmand_mm": 10038, + "codegen.vmandn_mm": 10038, + "codegen.vmax_vv": 24937, + "codegen.vmax_vx": 65387, + "codegen.vmaxu_vv": 24937, + "codegen.vmaxu_vx": 65387, + "codegen.vmerge_vim": 33608, + "codegen.vmerge_vvm": 14004, + "codegen.vmerge_vxm": 43336, + "codegen.vmin_vv": 24937, + "codegen.vmin_vx": 65387, + "codegen.vminu_vv": 24937, + "codegen.vminu_vx": 65387, + "codegen.vmnand_mm": 10038, + "codegen.vmnor_mm": 10038, + "codegen.vmor_mm": 10038, + "codegen.vmorn_mm": 10038, + "codegen.vmsbc_vv": 11000, + "codegen.vmsbc_vvm": 13154, + "codegen.vmsbc_vx": 49774, + "codegen.vmsbc_vxm": 51766, + "codegen.vmsbf_m": 1762, + "codegen.vmseq_vi": 81465, + "codegen.vmseq_vv": 26638, + "codegen.vmseq_vx": 110910, + "codegen.vmsgt_vi": 81465, + "codegen.vmsgt_vv": 26518, + "codegen.vmsgt_vx": 110910, + "codegen.vmsgtu_vi": 81465, + "codegen.vmsgtu_vv": 26518, + "codegen.vmsgtu_vx": 110910, + "codegen.vmsif_m": 1762, + "codegen.vmsle_vi": 81465, + "codegen.vmsle_vv": 26638, + "codegen.vmsle_vx": 110910, + "codegen.vmsleu_vi": 81465, + "codegen.vmsleu_vv": 26638, + "codegen.vmsleu_vx": 110910, + "codegen.vmslt_vv": 26638, + "codegen.vmslt_vx": 110910, + "codegen.vmsltu_vv": 26638, + "codegen.vmsltu_vx": 110910, + "codegen.vmsne_vi": 81465, + "codegen.vmsne_vv": 26638, + "codegen.vmsne_vx": 110910, + "codegen.vmsof_m": 1762, + "codegen.vmul_vv": 25151, + "codegen.vmul_vx": 87365, + "codegen.vmulh_vv": 25151, + "codegen.vmulh_vx": 87365, + "codegen.vmulhsu_vv": 25151, + "codegen.vmulhsu_vx": 87365, + "codegen.vmulhu_vv": 25151, + "codegen.vmulhu_vx": 87365, + "codegen.vmv_s_x": 1356, + "codegen.vmv_v_i": 17850, + "codegen.vmv_v_v": 9959, + "codegen.vmv_v_x": 8280, + "codegen.vmv_x_s": 1836, + "codegen.vmv1r_v": 1755, + "codegen.vmv2r_v": 1861, + "codegen.vmv4r_v": 2099, + "codegen.vmv8r_v": 2581, + "codegen.vmxnor_mm": 10038, + "codegen.vmxor_mm": 10038, + "codegen.vnclip_wi": 157469, + "codegen.vnclip_wv": 64585, + "codegen.vnclip_wx": 202701, + "codegen.vnclipu_wi": 157469, + "codegen.vnclipu_wv": 64585, + "codegen.vnclipu_wx": 202701, + "codegen.vnmsac_vv": 25747, + "codegen.vnmsac_vx": 77767, + "codegen.vnmsub_vv": 25747, + "codegen.vnmsub_vx": 77767, + "codegen.vnsra_wi": 39707, + "codegen.vnsra_wv": 16486, + "codegen.vnsra_wx": 51015, + "codegen.vnsrl_wi": 39707, + "codegen.vnsrl_wv": 16486, + "codegen.vnsrl_wx": 51015, + "codegen.vor_vi": 51570, + "codegen.vor_vv": 24937, + "codegen.vor_vx": 65361, + "codegen.vredand_vs": 30605, + "codegen.vredmax_vs": 30605, + "codegen.vredmaxu_vs": 30605, + "codegen.vredmin_vs": 30605, + "codegen.vredminu_vs": 30605, + "codegen.vredor_vs": 30605, + "codegen.vredsum_vs": 30605, + "codegen.vredxor_vs": 30605, + "codegen.vrem_vv": 34209, + "codegen.vrem_vx": 197052, + "codegen.vremu_vv": 34305, + "codegen.vremu_vx": 205045, + "codegen.vrgather_vi": 93882, + "codegen.vrgather_vv": 103314, + "codegen.vrgather_vx": 102302, + "codegen.vrgatherei16_vv": 76000, + "codegen.vrsub_vi": 51599, + "codegen.vrsub_vx": 65387, + "codegen.vs1r_v": 670, + "codegen.vs2r_v": 686, + "codegen.vs4r_v": 718, + "codegen.vs8r_v": 987, + "codegen.vsadd_vi": 51599, + "codegen.vsadd_vv": 24937, + "codegen.vsadd_vx": 65387, + "codegen.vsaddu_vi": 51599, + "codegen.vsaddu_vv": 24937, + "codegen.vsaddu_vx": 65387, + "codegen.vsbc_vvm": 12503, + "codegen.vsbc_vxm": 46493, + "codegen.vse16_v": 4547, + "codegen.vse32_v": 3829, + "codegen.vse8_v": 5265, + "codegen.vsetivli": 468, + "codegen.vsetvl": 468, + "codegen.vsetvli": 468, + "codegen.vsext_vf2": 23961, + "codegen.vsext_vf4": 4449, + "codegen.vslide1down_vx": 868128, + "codegen.vslide1up_vx": 862416, + "codegen.vslidedown_vi": 611166, + "codegen.vslidedown_vx": 863904, + "codegen.vslideup_vi": 607618, + "codegen.vslideup_vx": 861584, + "codegen.vsll_vi": 64394, + "codegen.vsll_vv": 27361, + "codegen.vsll_vx": 82829, + "codegen.vsm_v": 727, + "codegen.vsmul_vv": 99248, + "codegen.vsmul_vx": 265296, + "codegen.vsoxei16_v": 28791, + "codegen.vsoxei32_v": 17226, + "codegen.vsoxei8_v": 41216, + "codegen.vsoxseg2ei16_v": 27233, + "codegen.vsoxseg2ei32_v": 16910, + "codegen.vsoxseg2ei8_v": 33928, + "codegen.vsoxseg3ei16_v": 19123, + "codegen.vsoxseg3ei32_v": 12897, + "codegen.vsoxseg3ei8_v": 25426, + "codegen.vsoxseg4ei16_v": 21401, + "codegen.vsoxseg4ei32_v": 13886, + "codegen.vsoxseg4ei8_v": 29390, + "codegen.vsoxseg5ei16_v": 12654, + "codegen.vsoxseg5ei32_v": 7439, + "codegen.vsoxseg5ei8_v": 19055, + "codegen.vsoxseg6ei16_v": 13497, + "codegen.vsoxseg6ei32_v": 7839, + "codegen.vsoxseg6ei8_v": 20859, + "codegen.vsoxseg7ei16_v": 14340, + "codegen.vsoxseg7ei32_v": 8239, + "codegen.vsoxseg7ei8_v": 22663, + "codegen.vsoxseg8ei16_v": 15183, + "codegen.vsoxseg8ei32_v": 8639, + "codegen.vsoxseg8ei8_v": 24467, + "codegen.vsra_vi": 64394, + "codegen.vsra_vv": 27361, + "codegen.vsra_vx": 82829, + "codegen.vsrl_vi": 64394, + "codegen.vsrl_vv": 27361, + "codegen.vsrl_vx": 82829, + "codegen.vsse16_v": 50200, + "codegen.vsse32_v": 35880, + "codegen.vsse8_v": 77884, + "codegen.vsseg2e16_v": 4124, + "codegen.vsseg2e32_v": 3318, + "codegen.vsseg2e8_v": 4930, + "codegen.vsseg3e16_v": 3479, + "codegen.vsseg3e32_v": 2545, + "codegen.vsseg3e8_v": 4413, + "codegen.vsseg4e16_v": 3654, + "codegen.vsseg4e32_v": 2672, + "codegen.vsseg4e8_v": 4636, + "codegen.vsseg5e16_v": 2832, + "codegen.vsseg5e32_v": 1642, + "codegen.vsseg5e8_v": 4022, + "codegen.vsseg6e16_v": 2928, + "codegen.vsseg6e32_v": 1690, + "codegen.vsseg6e8_v": 4166, + "codegen.vsseg7e16_v": 3024, + "codegen.vsseg7e32_v": 1738, + "codegen.vsseg7e8_v": 4310, + "codegen.vsseg8e16_v": 3120, + "codegen.vsseg8e32_v": 1786, + "codegen.vsseg8e8_v": 4454, + "codegen.vssra_vi": 256217, + "codegen.vssra_vv": 108088, + "codegen.vssra_vx": 491072, + "codegen.vssrl_vi": 256217, + "codegen.vssrl_vv": 108088, + "codegen.vssrl_vx": 491072, + "codegen.vssseg2e16_v": 44252, + "codegen.vssseg2e32_v": 29628, + "codegen.vssseg2e8_v": 69020, + "codegen.vssseg3e16_v": 34464, + "codegen.vssseg3e32_v": 20968, + "codegen.vssseg3e8_v": 57464, + "codegen.vssseg4e16_v": 37908, + "codegen.vssseg4e32_v": 22276, + "codegen.vssseg4e8_v": 66020, + "codegen.vssseg5e16_v": 26368, + "codegen.vssseg5e32_v": 12836, + "codegen.vssseg5e8_v": 51504, + "codegen.vssseg6e16_v": 27660, + "codegen.vssseg6e32_v": 13364, + "codegen.vssseg6e8_v": 55820, + "codegen.vssseg7e16_v": 28952, + "codegen.vssseg7e32_v": 13892, + "codegen.vssseg7e8_v": 60136, + "codegen.vssseg8e16_v": 30244, + "codegen.vssseg8e32_v": 14420, + "codegen.vssseg8e8_v": 64452, + "codegen.vssub_vv": 24937, + "codegen.vssub_vx": 95633, + "codegen.vssubu_vv": 24937, + "codegen.vssubu_vx": 95633, + "codegen.vsub_vv": 24937, + "codegen.vsub_vx": 95633, + "codegen.vsuxei16_v": 28791, + "codegen.vsuxei32_v": 17226, + "codegen.vsuxei8_v": 41216, + "codegen.vsuxseg2ei16_v": 27233, + "codegen.vsuxseg2ei32_v": 16910, + "codegen.vsuxseg2ei8_v": 33928, + "codegen.vsuxseg3ei16_v": 19123, + "codegen.vsuxseg3ei32_v": 12897, + "codegen.vsuxseg3ei8_v": 25426, + "codegen.vsuxseg4ei16_v": 21401, + "codegen.vsuxseg4ei32_v": 13886, + "codegen.vsuxseg4ei8_v": 29390, + "codegen.vsuxseg5ei16_v": 12654, + "codegen.vsuxseg5ei32_v": 7439, + "codegen.vsuxseg5ei8_v": 19055, + "codegen.vsuxseg6ei16_v": 13497, + "codegen.vsuxseg6ei32_v": 7839, + "codegen.vsuxseg6ei8_v": 20859, + "codegen.vsuxseg7ei16_v": 14340, + "codegen.vsuxseg7ei32_v": 8239, + "codegen.vsuxseg7ei8_v": 22663, + "codegen.vsuxseg8ei16_v": 15183, + "codegen.vsuxseg8ei32_v": 8639, + "codegen.vsuxseg8ei8_v": 24467, + "codegen.vwadd_vv": 14875, + "codegen.vwadd_vx": 40655, + "codegen.vwadd_wv": 16201, + "codegen.vwadd_wx": 47507, + "codegen.vwaddu_vv": 14875, + "codegen.vwaddu_vx": 40655, + "codegen.vwaddu_wv": 16201, + "codegen.vwaddu_wx": 47507, + "codegen.vwmacc_vv": 15943, + "codegen.vwmacc_vx": 58343, + "codegen.vwmaccsu_vv": 15943, + "codegen.vwmaccsu_vx": 58343, + "codegen.vwmaccu_vv": 15943, + "codegen.vwmaccu_vx": 58343, + "codegen.vwmaccus_vx": 58343, + "codegen.vwmul_vv": 15019, + "codegen.vwmul_vx": 54415, + "codegen.vwmulsu_vv": 15019, + "codegen.vwmulsu_vx": 54415, + "codegen.vwmulu_vv": 15019, + "codegen.vwmulu_vx": 54415, + "codegen.vwredsum_vs": 18225, + "codegen.vwredsumu_vs": 18225, + "codegen.vwsub_vv": 14875, + "codegen.vwsub_vx": 40655, + "codegen.vwsub_wv": 16201, + "codegen.vwsub_wx": 47507, + "codegen.vwsubu_vv": 14875, + "codegen.vwsubu_vx": 40655, + "codegen.vwsubu_wv": 16201, + "codegen.vwsubu_wx": 47507, + "codegen.vxor_vi": 51570, + "codegen.vxor_vv": 24937, + "codegen.vxor_vx": 65361, + "codegen.vzext_vf2": 23961, + "codegen.vzext_vf4": 4449, + "codegen.vfadd_vv": 92389, + "codegen.vfadd_vf": 323156, + "codegen.vfsub_vv": 92389, + "codegen.vfsub_vf": 323156, + "codegen.vfrsub_vf": 323156, + "codegen.vfmul_vv": 92389, + "codegen.vfmul_vf": 323156, + "codegen.vfdiv_vv": 134005, + "codegen.vfdiv_vf": 668784, + "codegen.vfrdiv_vf": 668784, + "codegen.vfmacc_vv": 95749, + "codegen.vfmacc_vf": 379509, + "codegen.vfnmacc_vv": 95749, + "codegen.vfnmacc_vf": 379509, + "codegen.vfmsac_vv": 95749, + "codegen.vfmsac_vf": 379509, + "codegen.vfnmsac_vv": 95749, + "codegen.vfnmsac_vf": 379509, + "codegen.vfmadd_vv": 95749, + "codegen.vfmadd_vf": 379509, + "codegen.vfnmadd_vv": 95749, + "codegen.vfnmadd_vf": 379509, + "codegen.vfmsub_vv": 95749, + "codegen.vfmsub_vf": 379509, + "codegen.vfnmsub_vv": 95749, + "codegen.vfnmsub_vf": 379509, + "codegen.vfsqrt_v": 10373, + "codegen.vfrsqrt7_v": 6568, + "codegen.vfrec7_v": 6589, + "codegen.vfmin_vv": 92389, + "codegen.vfmin_vf": 323156, + "codegen.vfmax_vv": 92389, + "codegen.vfmax_vf": 323156, + "codegen.vfsgnj_vv": 92389, + "codegen.vfsgnj_vf": 277156, + "codegen.vfsgnjn_vv": 92389, + "codegen.vfsgnjn_vf": 277156, + "codegen.vfsgnjx_vv": 92389, + "codegen.vfsgnjx_vf": 277156, + "codegen.vmfeq_vv": 99636, + "codegen.vmfeq_vf": 559109, + "codegen.vmfne_vv": 99636, + "codegen.vmfne_vf": 559109, + "codegen.vmflt_vv": 99636, + "codegen.vmflt_vf": 559109, + "codegen.vmfgt_vf": 559109, + "codegen.vmfge_vf": 559109, + "codegen.vfclass_v": 6649, + "codegen.vfmerge_vfm": 185844, + "codegen.vfmv_v_f": 2233, + "codegen.vfmv_f_s": 8091, + "codegen.vfmv_s_f": 766, + "codegen.vfcvt_xu_f_v": 6559, + "codegen.vfcvt_x_f_v": 6559, + "codegen.vfcvt_rtz_xu_f_v": 6560, + "codegen.vfcvt_rtz_x_f_v": 6579, + "codegen.vfcvt_f_xu_v": 6559, + "codegen.vfcvt_f_x_v": 6565, + "codegen.vfredosum_vs": 160996, + "codegen.vfredusum_vs": 122757, + "codegen.vfredmax_vs": 122757, + "codegen.vfredmin_vs": 122757, + "rvv_bench.ascii_to_utf16": 39155, + "rvv_bench.ascii_to_utf32": 11277, + "rvv_bench.byteswap": 114251, + "rvv_bench.chacha20": 3, + "rvv_bench.mandelbrot": 257255, + "rvv_bench.memcpy": 43841, + "rvv_bench.memset": 14569, + "rvv_bench.mergelines": 89486, + "rvv_bench.poly1305": 3, + "rvv_bench.strlen": 30374, + "rvv_bench.utf8_count": 204907 +} + diff --git a/nix/t1/run/default.nix b/nix/t1/run/default.nix index 687942ced..8ef0d509c 100644 --- a/nix/t1/run/default.nix +++ b/nix/t1/run/default.nix @@ -7,6 +7,7 @@ , vcs-emu-trace , cases , configName +, topName }: let runVerilatorEmu = callPackage ./run-verilator-emu.nix { }; @@ -41,7 +42,7 @@ let _getAllResult = emuType: let testPlan = builtins.fromJSON - (lib.readFile ../../../.github/${configName}/default.json); + (lib.readFile ../../../.github/designs/${configName}/${topName}.json); # flattern the attr set to a list of test case derivations # AttrSet (AttrSet Derivation) -> List Derivation allCasesResult = lib.pipe emuAttrs [ diff --git a/nix/t1/t1.nix b/nix/t1/t1.nix index b4166fd2a..65a74a084 100644 --- a/nix/t1/t1.nix +++ b/nix/t1/t1.nix @@ -11,16 +11,18 @@ lib.mapAttrs strippedGeneratorData = lib.mapAttrs' (fullClassName: origData: lib.nameValuePair - (lib.head (lib.splitString "." (lib.removePrefix "org.chipsalliance.t1.elaborator." fullClassName))) + (lib.head + (lib.splitString "." + (lib.removePrefix "org.chipsalliance.t1.elaborator." fullClassName))) (origData // { inherit fullClassName; })) allGenerators; in lib.mapAttrs - (shortName: generator: + (topName: generator: lib.makeScope t1Scope.newScope (mostInnerScope: lib.recurseIntoAttrs { - inherit configName; + inherit configName topName; cases = mostInnerScope.callPackage ../../tests { }; @@ -70,59 +72,59 @@ lib.mapAttrs makeDifftest = mostInnerScope.callPackage ../../difftest { }; verilator-dpi-lib = mostInnerScope.makeDifftest { - outputName = "${shortName}-verilator-dpi-lib"; + outputName = "${topName}-verilator-dpi-lib"; emuType = "verilator"; - moduleType = "dpi_${shortName}"; + moduleType = "dpi_${topName}"; }; verilator-dpi-lib-trace = mostInnerScope.makeDifftest { - outputName = "${shortName}-verilator-trace-dpi-lib"; + outputName = "${topName}-verilator-trace-dpi-lib"; emuType = "verilator"; - moduleType = "dpi_${shortName}"; + moduleType = "dpi_${topName}"; enableTrace = true; }; verilator-emu = t1Scope.sv-to-verilator-emulator { - mainProgram = "${shortName}-verilated-simulator"; + mainProgram = "${topName}-verilated-simulator"; rtl = mostInnerScope.rtl; - extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib}/lib/libdpi_${shortName}.a" ]; + extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib}/lib/libdpi_${topName}.a" ]; }; verilator-emu-trace = t1Scope.sv-to-verilator-emulator { - mainProgram = "${shortName}-verilated-trace-simulator"; + mainProgram = "${topName}-verilated-trace-simulator"; rtl = mostInnerScope.rtl; enableTrace = true; - extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib-trace}/lib/libdpi_${shortName}.a" ]; + extraVerilatorArgs = [ "${mostInnerScope.verilator-dpi-lib-trace}/lib/libdpi_${topName}.a" ]; }; # --------------------------------------------------------------------------------- # VCS # --------------------------------------------------------------------------------- vcs-dpi-lib = mostInnerScope.makeDifftest { - outputName = "${shortName}-vcs-dpi-lib"; + outputName = "${topName}-vcs-dpi-lib"; emuType = "vcs"; - moduleType = "dpi_${shortName}"; + moduleType = "dpi_${topName}"; }; vcs-dpi-lib-trace = mostInnerScope.makeDifftest { - outputName = "${shortName}-vcs-dpi-trace-lib"; + outputName = "${topName}-vcs-dpi-trace-lib"; emuType = "vcs"; enableTrace = true; - moduleType = "dpi_${shortName}"; + moduleType = "dpi_${topName}"; }; offline-checker = mostInnerScope.makeDifftest { - outputName = "${shortName}-offline-checker"; - moduleType = "offline_${shortName}"; + outputName = "${topName}-offline-checker"; + moduleType = "offline_${topName}"; }; vcs-emu = t1Scope.sv-to-vcs-simulator { - mainProgram = "${shortName}-vcs-simulator"; + mainProgram = "${topName}-vcs-simulator"; rtl = mostInnerScope.rtl; - vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib}/lib/libdpi_${shortName}.a" ]; + vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib}/lib/libdpi_${topName}.a" ]; }; vcs-emu-trace = t1Scope.sv-to-vcs-simulator { - mainProgram = "${shortName}-vcs-trace-simulator"; + mainProgram = "${topName}-vcs-trace-simulator"; rtl = mostInnerScope.rtl; enableTrace = true; - vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib-trace}/lib/libdpi_${shortName}.a" ]; + vcsLinkLibs = [ "${mostInnerScope.vcs-dpi-lib-trace}/lib/libdpi_${topName}.a" ]; }; run = mostInnerScope.callPackage ./run { }; From bd3c5daa9936fcf0453dcf5ccfd7d7c2459d20e7 Mon Sep 17 00:00:00 2001 From: Avimitin Date: Mon, 14 Oct 2024 15:01:59 +0800 Subject: [PATCH 19/23] [script] add new "--top" option to all sub targets Signed-off-by: Avimitin --- script/ci/src/Main.scala | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/script/ci/src/Main.scala b/script/ci/src/Main.scala index 5a5920bba..cf512d166 100644 --- a/script/ci/src/Main.scala +++ b/script/ci/src/Main.scala @@ -224,6 +224,10 @@ object Main: name = "emu-lib", doc = "Specify emulator library type, verilator or vcs" ) emuLib: String = "vcs", + @arg( + name = "top", + doc = "Specify emulator ip top, Eg. t1rocketemu/t1emu..." + ) top: String, @arg( name = "top", doc = "Specify emulator ip top, Eg. t1rocketemu/t1emu..." From 5b026d0dac9215787a038e944c0aa68d4f88bae9 Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Sun, 13 Oct 2024 11:01:51 +0800 Subject: [PATCH 20/23] [om] add SRAM Path to Rocket --- rocketv/src/Frontend.scala | 20 +++++++++++++++--- rocketv/src/HellaCache.scala | 16 ++++++++++++++- rocketv/src/ICache.scala | 20 +++++++++++++++--- rocketv/src/RocketCore.scala | 7 +++++++ t1rocket/src/T1RocketTile.scala | 36 +++++++++++++++++++++++++++++++-- 5 files changed, 90 insertions(+), 9 deletions(-) diff --git a/rocketv/src/Frontend.scala b/rocketv/src/Frontend.scala index 4aa78514c..2aad99178 100644 --- a/rocketv/src/Frontend.scala +++ b/rocketv/src/Frontend.scala @@ -5,8 +5,9 @@ package org.chipsalliance.rocketv import chisel3._ -import chisel3.experimental.hierarchy.{instantiable, Instantiate} +import chisel3.experimental.hierarchy.{instantiable, public, Instance, Instantiate} import chisel3.experimental.{SerializableModule, SerializableModuleParameter} +import chisel3.properties.{AnyClassType, Class, ClassType, Property} import chisel3.util._ import chisel3.util.circt.ClockGate import chisel3.util.experimental.BitSet @@ -229,8 +230,17 @@ class FrontendInterface(parameter: FrontendParameter) extends Bundle { org.chipsalliance.amba.axi4.bundle.AXI4ROIrrevocable(parameter.instructionFetchParameter) val itimAXI: Option[AXI4RWIrrevocable] = parameter.itimParameter.map(p => Flipped(org.chipsalliance.amba.axi4.bundle.AXI4RWIrrevocable(p))) + val om: Property[ClassType] = Output(Property[AnyClassType]()) } +@instantiable +class FrontendOM extends Class { + @public + val icache = IO(Output(Property[AnyClassType]())) + @public + val icacheIn = IO(Input(Property[AnyClassType]())) + icache := icacheIn +} @instantiable class Frontend(val parameter: FrontendParameter) extends FixedIORawModule(new FrontendInterface(parameter)) @@ -238,8 +248,10 @@ class Frontend(val parameter: FrontendParameter) with Public with ImplicitClock with ImplicitReset { - override protected def implicitClock: Clock = io.clock - override protected def implicitReset: Reset = io.reset + override protected def implicitClock: Clock = io.clock + override protected def implicitReset: Reset = io.reset + val omInstance: Instance[FrontendOM] = Instantiate(new FrontendOM) + io.om := omInstance.getPropertyReference.asAnyClassType def xLen = parameter.xLen def fetchWidth = parameter.fetchWidth @@ -292,6 +304,8 @@ class Frontend(val parameter: FrontendParameter) else ClockGate(clock, clock_en) val icache = Instantiate(new ICache(parameter.icacheParameter)) + omInstance.icacheIn := Property(icache.io.om.asAnyClassType) + icache.io.clock := gated_clock icache.io.reset := io.reset icache.io.clock_enabled := clock_en diff --git a/rocketv/src/HellaCache.scala b/rocketv/src/HellaCache.scala index e1c6a70a3..619affd25 100644 --- a/rocketv/src/HellaCache.scala +++ b/rocketv/src/HellaCache.scala @@ -5,8 +5,9 @@ package org.chipsalliance.rocketv import chisel3._ -import chisel3.experimental.hierarchy.{instantiable, Instance, Instantiate} +import chisel3.experimental.hierarchy.{instantiable, public, Instance, Instantiate} import chisel3.experimental.{SerializableModule, SerializableModuleParameter, SourceInfo} +import chisel3.properties.{AnyClassType, Class, ClassType, Property} import chisel3.util.experimental.{BitSet, InlineInstance} import chisel3.util.{ isPow2, @@ -333,6 +334,16 @@ class HellaCacheInterface(parameter: HellaCacheParameter) extends Bundle { org.chipsalliance.amba.axi4.bundle.AXI4RWIrrevocable(parameter.loadStoreParameter) val dtimAXI: Option[AXI4RWIrrevocable] = parameter.dtimParameter.map(p => Flipped(org.chipsalliance.amba.axi4.bundle.AXI4RWIrrevocable(p))) + val om: Property[ClassType] = Output(Property[AnyClassType]()) +} + +@instantiable +class HellaCacheOM extends Class { + val srams = IO(Output(Property[Seq[AnyClassType]]())) + + @public + val sramsIn = IO(Input(Property[Seq[AnyClassType]]())) + srams := sramsIn } @instantiable @@ -350,6 +361,8 @@ class HellaCache(val parameter: HellaCacheParameter) val amoalus: Option[Seq[Instance[AMOALU]]] = parameter.amoaluParameter.map(amoaluParameter => Seq.tabulate(parameter.coreDataBits / parameter.xLen)(i => Instantiate(new AMOALU(amoaluParameter))) ) + val omInstance: Instance[HellaCacheOM] = Instantiate(new HellaCacheOM) + io.om := omInstance.getPropertyReference.asAnyClassType tlb.io.clock := io.clock tlb.io.reset := io.reset @@ -515,6 +528,7 @@ class HellaCache(val parameter: HellaCacheParameter) numReadwritePorts = 1 ) } + omInstance.sramsIn := Property((dataArrays ++ Some(tag_array)).map(_.description.get.asAnyClassType)) /** Data Arbiter 0: data from pending store buffer 1: data from TL-D refill 2: release to TL-A 3: hit path to CPU */ diff --git a/rocketv/src/ICache.scala b/rocketv/src/ICache.scala index 3238f8f78..0e3f7f2b6 100644 --- a/rocketv/src/ICache.scala +++ b/rocketv/src/ICache.scala @@ -5,8 +5,9 @@ package org.chipsalliance.rocketv import chisel3._ -import chisel3.experimental.hierarchy.instantiable +import chisel3.experimental.hierarchy.{instantiable, public, Instance, Instantiate} import chisel3.experimental.{SerializableModule, SerializableModuleParameter} +import chisel3.properties.{AnyClassType, Class, ClassType, Property} import chisel3.util.random.LFSR import chisel3.util._ import org.chipsalliance.amba.axi4.bundle.{AXI4BundleParameter, AXI4ROIrrevocable, AXI4RWIrrevocable} @@ -130,8 +131,18 @@ class ICacheInterface(parameter: ICacheParameter) extends Bundle { val itimAXI: Option[AXI4RWIrrevocable] = parameter.itimAXIParameter.map(p => Flipped(org.chipsalliance.amba.axi4.bundle.AXI4RWIrrevocable(p))) + + val om: Property[ClassType] = Output(Property[AnyClassType]()) } +@instantiable +class ICacheOM extends Class { + val srams = IO(Output(Property[Seq[AnyClassType]]())) + + @public + val sramsIn = IO(Input(Property[Seq[AnyClassType]]())) + srams := sramsIn +} @instantiable class ICache(val parameter: ICacheParameter) extends FixedIORawModule(new ICacheInterface(parameter)) @@ -139,8 +150,10 @@ class ICache(val parameter: ICacheParameter) with Public with ImplicitClock with ImplicitReset { - override protected def implicitClock: Clock = io.clock - override protected def implicitReset: Reset = io.reset + override protected def implicitClock: Clock = io.clock + override protected def implicitReset: Reset = io.reset + val omInstance: Instance[ICacheOM] = Instantiate(new ICacheOM) + io.om := omInstance.getPropertyReference.asAnyClassType // compatiblity mode object Split { @@ -523,6 +536,7 @@ class ICache(val parameter: ICacheParameter) numReadwritePorts = 1 ) } + omInstance.sramsIn := Property((data_arrays ++ Some(tag_array)).map(_.description.get.asAnyClassType)) for ((data_array, i) <- data_arrays.zipWithIndex) { diff --git a/rocketv/src/RocketCore.scala b/rocketv/src/RocketCore.scala index 52ac45afe..d7d739929 100644 --- a/rocketv/src/RocketCore.scala +++ b/rocketv/src/RocketCore.scala @@ -24,6 +24,7 @@ import chisel3.util.{ RegEnable, Valid } +import chisel3.properties.{AnyClassType, Class, ClassType, Property} import org.chipsalliance.rocketv.rvdecoderdbcompat.Causes import org.chipsalliance.rvdecoderdb.Instruction @@ -372,8 +373,12 @@ class RocketInterface(parameter: RocketParameter) extends Bundle { val wfi = Output(Bool()) val traceStall = Input(Bool()) val rocketProbe = Output(Probe(new RocketProbe(parameter), layers.Verification)) + val om: Property[ClassType] = Output(Property[AnyClassType]()) } +@instantiable +class RocketOM extends Class {} + /** The [[Rocket]] is the next version of the RocketCore, All micro architectures are from the original RocketCore. The * development of [[Rocket]] happens in the T1 project. It will be moved to the standalone pacakge until it get * verified. @@ -404,6 +409,8 @@ class Rocket(val parameter: RocketParameter) val mul: Option[Instance[PipelinedMultiplier]] = parameter.mulParameter.map(p => Instantiate(new PipelinedMultiplier(p))) val t1RetireQueue: Option[Queue[T1RdRetire]] = io.t1.map(t1 => Module(new Queue(chiselTypeOf(t1.retire.rd.bits), 32))) + val omInstance: Instance[RocketOM] = Instantiate(new RocketOM) + io.om := omInstance.getPropertyReference.asAnyClassType // compatibility mode. object rocketParams { diff --git a/t1rocket/src/T1RocketTile.scala b/t1rocket/src/T1RocketTile.scala index c0b90ccac..8b2fbc520 100644 --- a/t1rocket/src/T1RocketTile.scala +++ b/t1rocket/src/T1RocketTile.scala @@ -3,9 +3,10 @@ package org.chipsalliance.t1.tile import chisel3._ -import chisel3.experimental.hierarchy.{Instance, Instantiate} +import chisel3.experimental.hierarchy.{instantiable, public, Instance, Instantiate} import chisel3.experimental.{SerializableModule, SerializableModuleParameter} import chisel3.probe.{define, Probe, ProbeValue} +import chisel3.properties.{AnyClassType, Class, ClassType, Property} import chisel3.util.experimental.BitSet import chisel3.util.log2Ceil import org.chipsalliance.amba.axi4.bundle.{AXI4BundleParameter, AXI4ROIrrevocable, AXI4RWIrrevocable} @@ -376,6 +377,30 @@ case class T1RocketTileParameter( def t1HightOutstandingParameter: AXI4BundleParameter = t1Parameter.axi4BundleParameter.copy(dataWidth = 32) } +@instantiable +class T1RocketTileOM extends Class { + @public + val rocket = IO(Output(Property[AnyClassType]())) + @public + val rocketIn = IO(Input(Property[AnyClassType]())) + @public + val frontend = IO(Output(Property[AnyClassType]())) + @public + val frontendIn = IO(Input(Property[AnyClassType]())) + @public + val hellaCache = IO(Output(Property[AnyClassType]())) + @public + val hellaCacheIn = IO(Input(Property[AnyClassType]())) + @public + val t1 = IO(Output(Property[AnyClassType]())) + @public + val t1In = IO(Input(Property[AnyClassType]())) + rocket := rocketIn + frontend := frontendIn + hellaCache := hellaCacheIn + t1 := t1In +} + class T1RocketProbe(parameter: T1RocketTileParameter) extends Bundle { val rocketProbe: RocketProbe = Output(new RocketProbe(parameter.rocketParameter)) val fpuProbe: Option[FPUProbe] = parameter.fpuParameter.map(param => Output(new FPUProbe(param))) @@ -418,8 +443,9 @@ class T1RocketTileInterface(parameter: T1RocketTileParameter) extends Bundle { val highOutstandingAXI: AXI4RWIrrevocable = org.chipsalliance.amba.axi4.bundle.AXI4RWIrrevocable(parameter.t1HightOutstandingParameter) + val om: Property[ClassType] = Output(Property[AnyClassType]()) // TODO: merge it. - val t1RocketProbe: T1RocketProbe = Output(Probe(new T1RocketProbe(parameter), layers.Verification)) + val t1RocketProbe: T1RocketProbe = Output(Probe(new T1RocketProbe(parameter), layers.Verification)) } class T1RocketTile(val parameter: T1RocketTileParameter) @@ -435,6 +461,12 @@ class T1RocketTile(val parameter: T1RocketTileParameter) val ptw: Instance[PTW] = Instantiate(new PTW(parameter.ptwParameter)) val fpu: Option[Instance[FPU]] = parameter.fpuParameter.map(fpuParameter => Instantiate(new FPU(fpuParameter))) val t1: Instance[T1] = Instantiate(new T1(parameter.t1Parameter)) + val omInstance: Instance[T1RocketTileOM] = Instantiate(new T1RocketTileOM) + io.om := omInstance.getPropertyReference.asAnyClassType + omInstance.rocketIn := Property(rocket.io.om.asAnyClassType) + omInstance.frontendIn := Property(frontend.io.om.asAnyClassType) + omInstance.hellaCacheIn := Property(hellaCache.io.om.asAnyClassType) + omInstance.t1In := Property(t1.io.om.asAnyClassType) rocket.io.clock := io.clock rocket.io.reset := io.reset From a279d4a1876ca5f6754bc45c06b24d69a375416d Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Sun, 13 Oct 2024 11:08:39 +0800 Subject: [PATCH 21/23] [om] add SRAM Path to VRF --- t1/src/Lane.scala | 6 ++++++ t1/src/VectorFunctionUnit.scala | 9 ++++++++- t1/src/vrf/VRF.scala | 19 +++++++++++++++++++ 3 files changed, 33 insertions(+), 1 deletion(-) diff --git a/t1/src/Lane.scala b/t1/src/Lane.scala index e8b74ad00..51929c4ec 100644 --- a/t1/src/Lane.scala +++ b/t1/src/Lane.scala @@ -27,6 +27,11 @@ class LaneOM extends Class { @public val vfusIn = IO(Input(Property[Seq[AnyClassType]]())) vfus := vfusIn + @public + val vrf = IO(Output(Property[AnyClassType]())) + @public + val vrfIn = IO(Input(Property[AnyClassType]())) + vrf := vrfIn } class LaneSlotProbe(instructionIndexBits: Int) extends Bundle { @@ -321,6 +326,7 @@ class Lane(val parameter: LaneParameter) extends Module with SerializableModule[ /** VRF instantces. */ val vrf: Instance[VRF] = Instantiate(new VRF(parameter.vrfParam)) + omInstance.vrfIn := Property(vrf.om.asAnyClassType) /** TODO: review later */ diff --git a/t1/src/VectorFunctionUnit.scala b/t1/src/VectorFunctionUnit.scala index 5d4581332..9450a11d2 100644 --- a/t1/src/VectorFunctionUnit.scala +++ b/t1/src/VectorFunctionUnit.scala @@ -34,6 +34,11 @@ class VFUOM extends Class { @public val cyclesIn = IO(Input(Property[Int]())) cycles := cyclesIn + @public + val clock = IO(Output(Property[Path])) + @public + val clockIn = IO(Input(Property[Path])) + clock := clockIn } @instantiable @@ -48,7 +53,9 @@ abstract class VFUModule(p: VFUParameter) extends Module { @public val responseIO: DecoupledIO[VFUPipeBundle] = IO(Decoupled(p.outputBundle)) om := omInstance.getPropertyReference - omInstance.cyclesIn := Property(p.latency) + // I don't under the parameter of VFU, dirty hack + omInstance.cyclesIn := Property(if (p.singleCycle) 1 else 0) + omInstance.clockIn := Property(Path(clock)) val vfuRequestReady: Option[Bool] = Option.when(!p.singleCycle)(Wire(Bool())) val requestReg: VFUPipeBundle = RegEnable(requestIO.bits, 0.U.asTypeOf(requestIO.bits), requestIO.fire) diff --git a/t1/src/vrf/VRF.scala b/t1/src/vrf/VRF.scala index 3a4c0441b..eaccfee70 100644 --- a/t1/src/vrf/VRF.scala +++ b/t1/src/vrf/VRF.scala @@ -4,12 +4,14 @@ package org.chipsalliance.t1.rtl.vrf import chisel3._ +import chisel3.experimental.hierarchy.Instance import chisel3.experimental.hierarchy.{instantiable, public, Instantiate} import chisel3.experimental.{SerializableModule, SerializableModuleParameter} import chisel3.probe.{define, Probe, ProbeValue} import chisel3.util._ import chisel3.ltl._ import chisel3.ltl.Sequence._ +import chisel3.properties.{AnyClassType, Class, ClassType, Path, Property} import org.chipsalliance.t1.rtl.{ ffo, instIndexL, @@ -117,6 +119,15 @@ case class VRFParam( val vrfReadLatency = 2 } +@instantiable +class VRFOM extends Class { + val srams = IO(Output(Property[Seq[AnyClassType]]())) + + @public + val sramsIn = IO(Input(Property[Seq[AnyClassType]]())) + srams := sramsIn +} + class VRFProbe(parameter: VRFParam) extends Bundle { val valid: Bool = Bool() val requestVd: UInt = UInt(parameter.regNumBits.W) @@ -236,6 +247,12 @@ class VRF(val parameter: VRFParam) extends Module with SerializableModule[VRFPar @public val vrfProbe = IO(Output(Probe(new VRFProbe(parameter), layers.Verification))) + val omInstance: Instance[VRFOM] = Instantiate(new VRFOM) + val omType: ClassType = omInstance.toDefinition.getClassType + @public + val om: Property[ClassType] = IO(Output(Property[AnyClassType]())) + om := omInstance.getPropertyReference.asAnyClassType + // reset sram val sramReady: Bool = RegInit(false.B) val sramResetCount: UInt = RegInit(0.U(log2Ceil(parameter.rfDepth).W)) @@ -479,6 +496,8 @@ class VRF(val parameter: VRFParam) extends Module with SerializableModule[VRFPar rf } + omInstance.sramsIn := Property(rfVec.map(_.description.get.asAnyClassType)) + val initRecord: ValidIO[VRFWriteReport] = WireDefault(0.U.asTypeOf(Valid(new VRFWriteReport(parameter)))) initRecord.valid := true.B initRecord.bits := instructionWriteReport.bits From 9fc07321b9451dda0a64bc46ce5de179f748791e Mon Sep 17 00:00:00 2001 From: Jiuyang Liu Date: Sun, 13 Oct 2024 11:09:28 +0800 Subject: [PATCH 22/23] [om] refactor the omreader API --- omreader/src/Main.scala | 85 ------------ omreader/src/t1/T1.scala | 45 +++++++ omreader/src/t1rocketv/T1RocketTile.scala | 45 +++++++ omreaderlib/src/Interface.scala | 102 -------------- omreaderlib/src/OMReader.scala | 17 +++ omreaderlib/src/T1OMReaderAPI.scala | 106 +++++++++++++++ omreaderlib/src/t1/T1.scala | 90 +++++++++++++ omreaderlib/src/t1emu/Testbench.scala | 51 +++++++ omreaderlib/src/t1rocketv/T1RocketTile.scala | 132 +++++++++++++++++++ 9 files changed, 486 insertions(+), 187 deletions(-) delete mode 100644 omreader/src/Main.scala create mode 100644 omreader/src/t1/T1.scala create mode 100644 omreader/src/t1rocketv/T1RocketTile.scala delete mode 100644 omreaderlib/src/Interface.scala create mode 100644 omreaderlib/src/OMReader.scala create mode 100644 omreaderlib/src/T1OMReaderAPI.scala create mode 100644 omreaderlib/src/t1/T1.scala create mode 100644 omreaderlib/src/t1emu/Testbench.scala create mode 100644 omreaderlib/src/t1rocketv/T1RocketTile.scala diff --git a/omreader/src/Main.scala b/omreader/src/Main.scala deleted file mode 100644 index b7a967260..000000000 --- a/omreader/src/Main.scala +++ /dev/null @@ -1,85 +0,0 @@ -// SPDX-License-Identifier: Apache-2.0 -// SPDX-FileCopyrightText: 2022 Jiuyang Liu - -package org.chipsalliance.t1.omreader - -import java.io.BufferedInputStream -import mainargs._ -import chisel3.panamaom._ -import org.chipsalliance.t1.omreaderlib._ - -object Main { - implicit object PathRead extends TokensReader.Simple[os.Path] { - def shortName = "path" - def read(strs: Seq[String]): Either[String, os.Path] = Right(os.Path(strs.head, os.pwd)) - } - - @main - def run( - @arg(name = "mlirbc-file") mlirbcFile: Option[os.Path], - @arg(name = "dump-methods") dumpMethods: Flag, - @arg(name = "eval") eval: Option[String] - ) = { - val t1Reader = (mlirbcFile match { - case Some(path) => OMReader.fromFile(path) - case None => - val stdin = new BufferedInputStream(System.in) - val bytes = Stream.continually(stdin.read).takeWhile(_ != -1).map(_.toByte).toArray - OMReader.fromBytes(bytes) - }).t1Reader - - if (eval.nonEmpty) { - println(SimpleInputEval(t1Reader.entry, eval.get)) - } else if (dumpMethods.value) { - t1Reader.dumpMethods() - } else { - t1Reader.dumpAll() - } - } - - @main - def vlen(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = { - println(simplyGetT1Reader(mlirbcFile).vlen) - } - - @main - def dlen(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = { - println(simplyGetT1Reader(mlirbcFile).dlen) - } - - @main - def march(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = { - println(simplyGetT1Reader(mlirbcFile).march) - } - - @main - def extensionsJson(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = { - println(simplyGetT1Reader(mlirbcFile).extensionsJson) - } - - @main - def decoderInstructionsJson(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = { - println(simplyGetT1Reader(mlirbcFile).decoderInstructionsJson) - } - - @main - def decoderInstructionsJsonPretty(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = { - println(simplyGetT1Reader(mlirbcFile).decoderInstructionsJsonPretty) - } - - def simplyGetT1Reader(mlirbcFile: os.Path) = OMReader.fromFile(mlirbcFile).t1Reader - - def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) -} - -object SimpleInputEval { - def apply(entry: PanamaCIRCTOMEvaluatorValue, input: String): PanamaCIRCTOMEvaluatorValue = { - input.split("\\.").foldLeft(entry) { case (obj, field) => - if (field.forall(_.isDigit)) { - obj.asInstanceOf[PanamaCIRCTOMEvaluatorValueList].getElement(field.toLong) - } else { - obj.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject].field(field) - } - } - } -} diff --git a/omreader/src/t1/T1.scala b/omreader/src/t1/T1.scala new file mode 100644 index 000000000..f949e4019 --- /dev/null +++ b/omreader/src/t1/T1.scala @@ -0,0 +1,45 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu + +package org.chipsalliance.t1.omreader.t1 + +import mainargs._ +import org.chipsalliance.t1.omreaderlib.t1.T1 + +object T1 { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]): Either[String, os.Path] = Right(os.Path(strs.head, os.pwd)) + } + @main + def vlen(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + println(new T1(os.read.bytes(mlirbcFile)).vlen) + + @main + def dlen(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + println(new T1(os.read.bytes(mlirbcFile)).dlen) + + @main + def instructions(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + new T1(os.read.bytes(mlirbcFile)).instructions.foreach(println) + + @main + def extensions( + @arg(name = "mlirbc-file") mlirbcFile: os.Path + ) = + println(new T1(os.read.bytes(mlirbcFile)).extensions.mkString("")) + + @main + def march(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + println(new T1(os.read.bytes(mlirbcFile)).march) + + @main + def sram(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + new T1(os.read.bytes(mlirbcFile)).sram.foreach(s => println(upickle.default.write(s))) + + @main + def retime(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + new T1(os.read.bytes(mlirbcFile)).retime.foreach(r => println(upickle.default.write(r))) + + def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) +} diff --git a/omreader/src/t1rocketv/T1RocketTile.scala b/omreader/src/t1rocketv/T1RocketTile.scala new file mode 100644 index 000000000..bd67122c3 --- /dev/null +++ b/omreader/src/t1rocketv/T1RocketTile.scala @@ -0,0 +1,45 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu + +package org.chipsalliance.t1.omreader.t1rocketv + +import mainargs._ +import org.chipsalliance.t1.omreaderlib.t1rocketv.T1RocketTile + +object T1RocketTile { + implicit object PathRead extends TokensReader.Simple[os.Path] { + def shortName = "path" + def read(strs: Seq[String]): Either[String, os.Path] = Right(os.Path(strs.head, os.pwd)) + } + @main + def vlen(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + println(new T1RocketTile(os.read.bytes(mlirbcFile)).vlen) + + @main + def dlen(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + println(new T1RocketTile(os.read.bytes(mlirbcFile)).dlen) + + @main + def instructions(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + new T1RocketTile(os.read.bytes(mlirbcFile)).instructions.foreach(println) + + @main + def extensions( + @arg(name = "mlirbc-file") mlirbcFile: os.Path + ) = + println(new T1RocketTile(os.read.bytes(mlirbcFile)).extensions.mkString("")) + + @main + def march(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + println(new T1RocketTile(os.read.bytes(mlirbcFile)).march) + + @main + def sram(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + new T1RocketTile(os.read.bytes(mlirbcFile)).sram.foreach(s => println(upickle.default.write(s))) + + @main + def retime(@arg(name = "mlirbc-file") mlirbcFile: os.Path) = + new T1RocketTile(os.read.bytes(mlirbcFile)).retime.foreach(r => println(upickle.default.write(r))) + + def main(args: Array[String]): Unit = ParserForMethods(this).runOrExit(args) +} diff --git a/omreaderlib/src/Interface.scala b/omreaderlib/src/Interface.scala deleted file mode 100644 index a882aa952..000000000 --- a/omreaderlib/src/Interface.scala +++ /dev/null @@ -1,102 +0,0 @@ -package org.chipsalliance.t1.omreaderlib - -import scala.reflect.runtime.universe._ -import chisel3.panamalib.option._ -import chisel3.panamaom._ -import chisel3.panamaconverter.PanamaCIRCTConverter - -object OMReader { - def fromFile(mlirbcFile: os.Path): OMReader = { - new OMReader(os.read.bytes(mlirbcFile)) - } - - def fromBytes(mlirbc: Array[Byte]): OMReader = { - new OMReader(mlirbc) - } -} - -class OMReader private (mlirbc: Array[Byte]) { - private val cvt = PanamaCIRCTConverter.newWithMlirBc(mlirbc) - private val om = cvt.om() - private val evaluator = om.evaluator() - - def t1Reader: T1Reader = new T1Reader(evaluator, om.newBasePathEmpty) -} - -class T1Reader private[omreaderlib] (evaluator: PanamaCIRCTOMEvaluator, basePath: PanamaCIRCTOMEvaluatorValueBasePath) { - val (entry, isSubsystem) = { - evaluator.instantiate("T1Subsystem_Class", Seq(basePath)) match { - case Some(subsystem) => (subsystem, true) - case None => (evaluator.instantiate("T1_Class", Seq(basePath)).get, false) - } - } - private val t1 = { - if (isSubsystem) { - entry - .field("om") - .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] - .field("t1") - .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] - } else { - entry - .field("om") - .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] - } - } - - def vlen: Long = t1.field("vlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer - def dlen: Long = t1.field("dlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer - private def decoderInstructionsJsonImpl: ujson.Value = { - val decoder = t1.field("decoder").asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] - val instructions = decoder.field("instructions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] - - instructions.elements.map(instruction => { - val instr = instruction.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] - val attributes = instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] - - ujson.Obj( - "attributes" -> attributes.elements.map(attribute => { - val attr = attribute.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] - val description = attr.field("description").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString - val identifier = attr.field("identifier").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString - val value = attr.field("value").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString - ujson.Obj( - "description" -> description, - "identifier" -> identifier, - "value" -> value - ) - }) - ) - }) - } - def decoderInstructionsJson: String = ujson.write(decoderInstructionsJsonImpl) - def decoderInstructionsJsonPretty: String = ujson.write(decoderInstructionsJsonImpl, 2) - def extensionsJson: String = { - val extensions = t1.field("extensions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] - val j = extensions.elements.map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString) - ujson.write(j) - } - def march: String = t1.field("march").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString - - def dumpMethods(): Unit = { - val mirror = runtimeMirror(getClass.getClassLoader).reflect(this) - val methods = - typeOf[T1Reader].decls.toList.filter(m => m.isPublic && m.isMethod && !m.isConstructor && !m.asMethod.isGetter) - methods.foreach(method => { - if (!method.name.toString.startsWith("dump")) { - var value = mirror.reflectMethod(method.asMethod)().toString.replace("\n", "\\n") - - val displayLength = 80 - if (value.length > displayLength) { - value = value.take(displayLength) + s" (... ${value.length - displayLength} characters)" - } - - println(s"${method.name} = $value") - } - }) - } - - def dumpAll(): Unit = { - entry.foreachField((name, value) => println(s".$name => $value")) - } -} diff --git a/omreaderlib/src/OMReader.scala b/omreaderlib/src/OMReader.scala new file mode 100644 index 000000000..49783c69a --- /dev/null +++ b/omreaderlib/src/OMReader.scala @@ -0,0 +1,17 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu + +package org.chipsalliance.t1.omreaderlib + +import chisel3.panamaconverter.PanamaCIRCTConverter +import chisel3.panamaom._ + +trait OMReader { + val mlirbc: Array[Byte] + val top: String + protected lazy val cvt: PanamaCIRCTConverter = PanamaCIRCTConverter.newWithMlirBc(mlirbc) + protected lazy val om: PanamaCIRCTOM = cvt.om() + protected lazy val evaluator: PanamaCIRCTOMEvaluator = om.evaluator() + protected lazy val basePath: PanamaCIRCTOMEvaluatorValueBasePath = om.newBasePathEmpty() + protected lazy val entry: PanamaCIRCTOMEvaluatorValueObject = evaluator.instantiate(top, Seq(basePath)).get +} diff --git a/omreaderlib/src/T1OMReaderAPI.scala b/omreaderlib/src/T1OMReaderAPI.scala new file mode 100644 index 000000000..0fc21210f --- /dev/null +++ b/omreaderlib/src/T1OMReaderAPI.scala @@ -0,0 +1,106 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu + +package org.chipsalliance.t1.omreaderlib + +import upickle.default.{macroRW, ReadWriter} + +object SRAM { + implicit val rw: ReadWriter[SRAM] = macroRW +} + +/** The SRAM Module to be replaced. */ +case class SRAM( + moduleName: String, + depth: Int, + width: Int, + read: Int, + write: Int, + readwrite: Int, + maskGranularity: Int) + +object Retime { + implicit val rw: ReadWriter[Retime] = macroRW +} + +/** Module to be retimed. */ +case class Retime(moduleName: String) + +object InstructionAttributes { + implicit val rw: ReadWriter[InstructionAttributes] = macroRW +} + +case class InstructionAttributes( + identifier: String, + description: String, + value: String) + +object Instruction { + implicit val rw: ReadWriter[Instruction] = macroRW +} + +case class Instruction( + instructionName: String, + documentation: String, + bitPat: String, + attributes: Seq[InstructionAttributes]) { + override def toString: String = + s"${instructionName} -> ${attributes.map(a => s"${a.identifier}:${a.value}").mkString(",")}" +} + +object Path { + implicit val rw: ReadWriter[Instruction] = macroRW + def parse(str: String): Path = + str match { + case s"OMReferenceTarget:~${top}|${hier}>${local}" => + Path( + top, + hier + .split("/") + .map(i => { + val s = i.split(":") + (s.head, s.last) + }), + Some(local) + ) + case s"OMReferenceTarget:~${top}|${hier}" => + Path( + top, + hier + .split("/") + .map(i => { + val s = i.split(":") + (s.head, s.last) + }), + None + ) + } +} + +case class Path(top: String, hierarchy: Seq[(String, String)], local: Option[String]) { + def module: String = hierarchy.last._2 + def path: String = hierarchy.map(_._1).mkString(".") +} + +/** Public Module under T1 should implement Modules below. */ +trait T1OMReaderAPI extends OMReader { + + def vlen: Int + + def dlen: Int + + /** all supported RISC-V extensions */ + def extensions: Seq[String] + + /** the march needed by compiler */ + def march: String + + /** All SRAMs with its metadata */ + def sram: Seq[SRAM] + + /** All Modules that need to be retimed */ + def retime: Seq[Retime] + + /** All Instructions with all metadata */ + def instructions: Seq[Instruction] +} diff --git a/omreaderlib/src/t1/T1.scala b/omreaderlib/src/t1/T1.scala new file mode 100644 index 000000000..a2418f76d --- /dev/null +++ b/omreaderlib/src/t1/T1.scala @@ -0,0 +1,90 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu + +package org.chipsalliance.t1.omreaderlib.t1 + +import chisel3.panamaom._ +import org.chipsalliance.t1.omreaderlib.{Instruction, InstructionAttributes, Path, Retime, SRAM, T1OMReaderAPI} + +/** OM API for [[org.chipsalliance.t1.rtl.T1OM]] */ +class T1(val mlirbc: Array[Byte]) extends T1OMReaderAPI { + val top: String = "T1_Class" + private val t1: PanamaCIRCTOMEvaluatorValueObject = entry.field("om").asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + def vlen: Int = t1.field("vlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt + def dlen: Int = t1.field("dlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt + def instructions: Seq[Instruction] = { + val decoder = t1.field("decoder").asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + val instructions = decoder.field("instructions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + instructions + .elements() + .map(instruction => { + val instr = instruction.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + val attributes = instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + Instruction( + instr.field("instructionName").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + instr.field("documentation").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + instr.field("bitPat").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attributes + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]) + .map { attr => + InstructionAttributes( + attr.field("identifier").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attr.field("description").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attr.field("value").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString + ) + } + ) + }) + } + def extensions: Seq[String] = { + val extensions = t1.field("extensions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + extensions.elements().map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString) + } + def march: String = t1.field("march").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString + def sram: Seq[SRAM] = t1 + .field("lanes") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject].field("vrf")) + .flatMap { vrf => + val srams = vrf + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + .field("srams") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + srams.elements().map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]).map { sram => + SRAM( + moduleName = + Path.parse(sram.field("hierarchy").asInstanceOf[PanamaCIRCTOMEvaluatorValuePath].toString).module, + depth = sram.field("depth").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + width = sram.field("width").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + read = sram.field("read").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + write = sram.field("write").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + readwrite = sram.field("readwrite").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + maskGranularity = sram + .field("maskGranularity") + .asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger] + .integer + .toInt + ) + } + } + .distinct + def retime: Seq[Retime] = { + t1 + .field("lanes") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject].field("vfus")) + .flatMap( + _.asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]) + .filter(_.field("cycles").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer != 0) + ) + .map(_.field("clock").asInstanceOf[PanamaCIRCTOMEvaluatorValuePath]) + .map(p => Retime(Path.parse(p.toString).module)) + .distinct + } +} diff --git a/omreaderlib/src/t1emu/Testbench.scala b/omreaderlib/src/t1emu/Testbench.scala new file mode 100644 index 000000000..fea02134c --- /dev/null +++ b/omreaderlib/src/t1emu/Testbench.scala @@ -0,0 +1,51 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu +package org.chipsalliance.t1.omreaderlib.t1rocketv + +import chisel3.panamaom._ +import org.chipsalliance.t1.omreaderlib.{Instruction, InstructionAttributes, Retime, SRAM, T1OMReaderAPI} + +/** OM API for [[org.chipsalliance.t1.rtl.T1OM]] */ +class Testbench(val mlirbc: Array[Byte]) extends T1OMReaderAPI { + val top: String = "Testbench_Class" + private val t1: PanamaCIRCTOMEvaluatorValueObject = entry + .field("om") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + .field("t1") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + def vlen: Int = t1.field("vlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt + def dlen: Int = t1.field("dlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt + def extensions: Seq[String] = { + val extensions = t1.field("extensions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + extensions.elements().map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString) + } + def march: String = t1.field("march").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString + def instructions: Seq[Instruction] = { + val decoder = t1.field("decoder").asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + val instructions = decoder.field("instructions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + instructions + .elements() + .map(instruction => { + val instr = instruction.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + val attributes = instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + Instruction( + instr.field("instructionName").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + instr.field("documentation").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + instr.field("bitPat").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attributes + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]) + .map { attr => + InstructionAttributes( + attr.field("identifier").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attr.field("description").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attr.field("value").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString + ) + } + ) + }) + } + override def sram: Seq[SRAM] = Nil + override def retime: Seq[Retime] = Nil +} diff --git a/omreaderlib/src/t1rocketv/T1RocketTile.scala b/omreaderlib/src/t1rocketv/T1RocketTile.scala new file mode 100644 index 000000000..5688e191c --- /dev/null +++ b/omreaderlib/src/t1rocketv/T1RocketTile.scala @@ -0,0 +1,132 @@ +// SPDX-License-Identifier: Apache-2.0 +// SPDX-FileCopyrightText: 2022 Jiuyang Liu + +package org.chipsalliance.t1.omreaderlib.t1rocketv + +import chisel3.panamaom._ +import org.chipsalliance.t1.omreaderlib.{Instruction, InstructionAttributes, Path, Retime, SRAM, T1OMReaderAPI} + +/** OM API for [[org.chipsalliance.t1.rtl.T1OM]] */ +class T1RocketTile(val mlirbc: Array[Byte]) extends T1OMReaderAPI { + val top: String = "T1RocketTile_Class" + private val tile: PanamaCIRCTOMEvaluatorValueObject = entry + .field("om") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + private val t1: PanamaCIRCTOMEvaluatorValueObject = tile + .field("t1") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + def vlen: Int = t1.field("vlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt + def dlen: Int = t1.field("dlen").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt + def instructions: Seq[Instruction] = { + val decoder = t1.field("decoder").asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + val instructions = decoder.field("instructions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + instructions + .elements() + .map(instruction => { + val instr = instruction.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + val attributes = instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + instr.field("attributes").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + Instruction( + instr.field("instructionName").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + instr.field("documentation").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + instr.field("bitPat").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attributes + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]) + .map { attr => + InstructionAttributes( + attr.field("identifier").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attr.field("description").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString, + attr.field("value").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString + ) + } + ) + }) + } + def extensions: Seq[String] = { + val extensions = t1.field("extensions").asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + extensions.elements().map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString) + } + def march: String = t1.field("march").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveString].toString + def vrf: Seq[SRAM] = t1 + .field("lanes") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject].field("vrf")) + .flatMap { vrf => + val srams = vrf + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + .field("srams") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + srams.elements().map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]).map { sram => + SRAM( + moduleName = + Path.parse(sram.field("hierarchy").asInstanceOf[PanamaCIRCTOMEvaluatorValuePath].toString).module, + depth = sram.field("depth").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + width = sram.field("width").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + read = sram.field("read").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + write = sram.field("write").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + readwrite = sram.field("readwrite").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + maskGranularity = sram + .field("maskGranularity") + .asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger] + .integer + .toInt + ) + } + } + .distinct + + def cache: Seq[SRAM] = Seq( + tile + .field("frontend") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + .field("icache") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject], + tile + .field("hellaCache") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueObject] + ) + .flatMap( + _.field("srams") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]) + .map(sram => + SRAM( + moduleName = + Path.parse(sram.field("hierarchy").asInstanceOf[PanamaCIRCTOMEvaluatorValuePath].toString).module, + depth = sram.field("depth").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + width = sram.field("width").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + read = sram.field("read").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + write = sram.field("write").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + readwrite = sram.field("readwrite").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer.toInt, + maskGranularity = sram + .field("maskGranularity") + .asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger] + .integer + .toInt + ) + ) + ) + .distinct + + def sram = vrf ++ cache + def vfu: Seq[Retime] = { + t1 + .field("lanes") + .asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject].field("vfus")) + .flatMap( + _.asInstanceOf[PanamaCIRCTOMEvaluatorValueList] + .elements() + .map(_.asInstanceOf[PanamaCIRCTOMEvaluatorValueObject]) + .filter(_.field("cycles").asInstanceOf[PanamaCIRCTOMEvaluatorValuePrimitiveInteger].integer != 0) + ) + .map(_.field("clock").asInstanceOf[PanamaCIRCTOMEvaluatorValuePath]) + .map(p => Retime(Path.parse(p.toString).module)) + .distinct + } + def retime = vfu +} From 2e285f167439c99e4ddafdde333547d25b7d42bd Mon Sep 17 00:00:00 2001 From: Avimitin Date: Tue, 15 Oct 2024 00:51:50 +0800 Subject: [PATCH 23/23] [nix] fix multiple main in omreader Signed-off-by: Avimitin --- nix/t1/mill-modules.nix | 12 +++++++++--- nix/t1/t1.nix | 1 + 2 files changed, 10 insertions(+), 3 deletions(-) diff --git a/nix/t1/mill-modules.nix b/nix/t1/mill-modules.nix index 85e81f885..00f1c193e 100644 --- a/nix/t1/mill-modules.nix +++ b/nix/t1/mill-modules.nix @@ -141,11 +141,17 @@ let mv out/t1package/assembly.dest/out.jar $t1package/share/java/t1package.jar mv out/t1package/chiselPluginJar.dest/out.jar $t1package/share/java/chiselPluginJar.jar - mkdir -p $omreader/bin $elaborator/bin + mkdir -p $elaborator/bin makeWrapper ${jdk21}/bin/java $elaborator/bin/elaborator \ - --add-flags "--enable-preview -Djava.library.path=${circt-full}/lib -cp $out/share/java/elaborator.jar" + --add-flags "--enable-preview -Djava.library.path=${circt-full}/lib" \ + --add-flags "-cp $out/share/java/elaborator.jar" + + mkdir -p $omreader/bin makeWrapper ${jdk21}/bin/java "$omreader"/bin/omreader \ - --add-flags "--enable-preview --enable-native-access=ALL-UNNAMED -Djava.library.path=${circt-full}/lib -jar $out/share/java/omreader.jar" + --add-flags "--enable-preview" \ + --add-flags "--enable-native-access=ALL-UNNAMED" \ + --add-flags "-Djava.library.path=${circt-full}/lib" \ + --add-flags "-cp $out/share/java/omreader.jar" ''; }; in diff --git a/nix/t1/t1.nix b/nix/t1/t1.nix index 65a74a084..7f1111539 100644 --- a/nix/t1/t1.nix +++ b/nix/t1/t1.nix @@ -54,6 +54,7 @@ lib.mapAttrs omGet = args: lib.toLower (lib.fileContents (runCommand "get-${args}" { } '' ${t1Scope.omreader-unwrapped}/bin/omreader \ + ${lib.replaceStrings ["elaborator"] ["omreader"] generator.fullClassName} \ ${args} \ --mlirbc-file ${mostInnerScope.lowered-mlirbc}/${mostInnerScope.lowered-mlirbc.name} \ > $out