From 52425945b1b55c56180ee1d7d07f926eabb64a9d Mon Sep 17 00:00:00 2001 From: Ethan Sifferman Date: Thu, 12 Oct 2023 01:45:02 -0700 Subject: [PATCH 1/3] separated out unsynthesizable tests --- tests/chapter-11/11.4.14.4--dynamic_array_stream-sim.sv | 1 + tests/chapter-11/11.4.14.4--dynamic_array_stream.sv | 1 + tests/chapter-11/11.4.14.4--dynamic_array_stream_with.sv | 1 + tests/chapter-12/12.7.3--foreach.sv | 1 + tests/chapter-12/12.7.6--forever.sv | 3 ++- tests/chapter-13/13.3.1--task-static.sv | 1 + tests/chapter-13/13.4.4--fork-invalid.sv | 1 + tests/chapter-13/13.4.4--fork-valid.sv | 1 + tests/chapter-15/15.5.1--named-event-trigger-blocking.sv | 1 + .../chapter-15/15.5.1--named-event-trigger-non-blocking.sv | 1 + tests/chapter-15/15.5.2--named-event-wait.sv | 1 + tests/chapter-16/16.10--property-local-var-fail.sv | 1 + tests/chapter-16/16.10--property-local-var-uvm-fail.sv | 1 + tests/chapter-16/16.10--property-local-var-uvm.sv | 1 + tests/chapter-16/16.10--property-local-var.sv | 1 + tests/chapter-16/16.10--sequence-local-var-fail.sv | 1 + tests/chapter-16/16.10--sequence-local-var-uvm.sv | 1 + tests/chapter-16/16.10--sequence-local-var.sv | 1 + tests/chapter-16/16.11--sequence-subroutine-uvm.sv | 1 + tests/chapter-16/16.12--property-interface-prec-uvm.sv | 1 + tests/chapter-16/16.12--property-interface-uvm-fail.sv | 1 + tests/chapter-16/16.12--property-interface-uvm.sv | 1 + tests/chapter-16/16.12--property-prec-uvm-fail.sv | 1 + tests/chapter-16/16.12--property-prec-uvm.sv | 1 + tests/chapter-16/16.12--property-uvm-fail.sv | 1 + tests/chapter-16/16.12--property-uvm.sv | 1 + tests/chapter-16/16.13--sequence-multiclock-uvm.sv | 1 + tests/chapter-16/16.14--assume-property-uvm-fail.sv | 1 + tests/chapter-16/16.14--assume-property-uvm.sv | 1 + tests/chapter-16/16.15--property-disable-iff-fail.sv | 1 + tests/chapter-16/16.15--property-disable-iff.sv | 1 + tests/chapter-16/16.15--property-iff-uvm-fail.sv | 1 + tests/chapter-16/16.15--property-iff-uvm.sv | 1 + tests/chapter-16/16.17--expect-uvm-fail.sv | 1 + tests/chapter-16/16.17--expect-uvm.sv | 1 + tests/chapter-16/16.2--assert-final-uvm.sv | 1 + tests/chapter-16/16.2--assert-uvm.sv | 1 + tests/chapter-16/16.2--assert0-uvm.sv | 1 + tests/chapter-16/16.2--assume-uvm-fail.sv | 1 + tests/chapter-16/16.2--assume-uvm.sv | 1 + tests/chapter-16/16.7--sequence-and-range-uvm.sv | 1 + tests/chapter-16/16.7--sequence-and-uvm.sv | 1 + tests/chapter-16/16.7--sequence-intersect-uvm-fail.sv | 1 + tests/chapter-16/16.7--sequence-intersect-uvm.sv | 1 + tests/chapter-16/16.7--sequence-or-uvm.sv | 1 + tests/chapter-16/16.7--sequence-throughout-uvm-fail.sv | 1 + tests/chapter-16/16.7--sequence-throughout-uvm.sv | 1 + tests/chapter-16/16.7--sequence-uvm-fail.sv | 1 + tests/chapter-16/16.7--sequence-uvm.sv | 1 + tests/chapter-16/16.7--sequence.sv | 1 + tests/chapter-16/16.9--sequence-changed-uvm.sv | 1 + tests/chapter-16/16.9--sequence-cons-repetition.sv | 1 + tests/chapter-16/16.9--sequence-fell-uvm.sv | 1 + tests/chapter-16/16.9--sequence-goto-repetition.sv | 1 + tests/chapter-16/16.9--sequence-noncons-repetition.sv | 1 + tests/chapter-16/16.9--sequence-past-uvm.sv | 1 + tests/chapter-16/16.9--sequence-rose-uvm.sv | 1 + tests/chapter-16/16.9--sequence-stable-uvm.sv | 1 + .../chapter-18/18.10--dynamic-constraint-modification_0.sv | 1 + .../chapter-18/18.11--in-line-random-variable-control_0.sv | 1 + .../chapter-18/18.11--in-line-random-variable-control_1.sv | 1 + tests/chapter-18/18.11.1--in-line-constraint-checker_0.sv | 1 + tests/chapter-18/18.11.1--in-line-constraint-checker_1.sv | 1 + .../chapter-18/18.12--randomization-of-scope-variables_1.sv | 1 + .../18.12.1--adding-constraints-to-scope-variables_1.sv | 1 + tests/chapter-18/18.13.1--urandom_1.sv | 1 + tests/chapter-18/18.13.1--urandom_3.sv | 1 + tests/chapter-18/18.13.2--urandom_range_1.sv | 1 + tests/chapter-18/18.13.2--urandom_range_2.sv | 1 + tests/chapter-18/18.13.2--urandom_range_3.sv | 1 + tests/chapter-18/18.13.3--srandom_0.sv | 1 + tests/chapter-18/18.13.4--get_randstate_0.sv | 1 + tests/chapter-18/18.13.5--set_randstate_0.sv | 1 + tests/chapter-18/18.14--random-stability_0.sv | 1 + tests/chapter-18/18.14--random-stability_1.sv | 1 + tests/chapter-18/18.14--random-stability_2.sv | 1 + tests/chapter-18/18.14--random-stability_3.sv | 1 + tests/chapter-18/18.14.2--thread-stability_0.sv | 1 + tests/chapter-18/18.14.2--thread-stability_1.sv | 1 + tests/chapter-18/18.14.3--object-stability_0.sv | 1 + tests/chapter-18/18.14.3--object-stability_1.sv | 1 + tests/chapter-18/18.15--manually-seeding-randomize_1.sv | 1 + .../18.17--random-sequence-generation-randsequence_0.sv | 1 + .../18.17--random-sequence-generation-randsequence_2.sv | 1 + tests/chapter-18/18.17.1--random-production-weights_0.sv | 1 + .../chapter-18/18.17.2--if-else-production-statements_0.sv | 1 + .../18.17.2--if-else-production-statements_0_fail.sv | 1 + .../chapter-18/18.17.2--if-else-production-statements_2.sv | 1 + .../18.17.2--if-else-production-statements_2_fail.sv | 1 + tests/chapter-18/18.17.3--case-production-statements_0.sv | 1 + .../18.17.3--case-production-statements_0_fail.sv | 1 + tests/chapter-18/18.17.4--repeat-production-statements_0.sv | 1 + .../18.17.5--interleaving-productions-rand-join_0.sv | 1 + .../18.17.5--interleaving-productions-rand-join_2.sv | 1 + .../18.17.6--aborting-productions-break-and-return_0.sv | 1 + .../18.17.6--aborting-productions-break-and-return_2.sv | 1 + ...18.17.6--aborting-productions-break-and-return_2_fail.sv | 1 + .../18.17.7--value-passing-between-productions_0.sv | 1 + tests/chapter-18/18.5--constraint-blocks_1.sv | 1 + tests/chapter-18/18.5.1--explicit-external-constraint_2.sv | 1 + tests/chapter-18/18.5.1--implicit-external-constraint_2.sv | 1 + tests/chapter-18/18.5.11--static-constraint-blocks_1.sv | 1 + tests/chapter-18/18.5.12--functions-in-constraint_1.sv | 1 + tests/chapter-18/18.5.13--constraint-guards_1.sv | 1 + tests/chapter-18/18.5.14--soft-constraints_1.sv | 1 + tests/chapter-18/18.5.14.1--soft-constraint-priorities_1.sv | 1 + tests/chapter-18/18.5.14.1--soft-constraint-priorities_3.sv | 1 + tests/chapter-18/18.5.14.1--soft-constraint-priorities_4.sv | 1 + .../chapter-18/18.5.14.2--discarding-soft-constraints_1.sv | 1 + .../chapter-18/18.5.14.2--discarding-soft-constraints_3.sv | 1 + .../chapter-18/18.5.14.2--discarding-soft-constraints_5.sv | 1 + tests/chapter-18/18.5.2--constraint-inheritance_1.sv | 1 + tests/chapter-18/18.5.2--pure-constraint_1.sv | 1 + tests/chapter-18/18.5.3--set-membership_1.sv | 1 + tests/chapter-18/18.5.4--distribution_1.sv | 1 + tests/chapter-18/18.5.5--uniqueness-constraints_1.sv | 1 + tests/chapter-18/18.5.6--implication_1.sv | 1 + tests/chapter-18/18.5.7--if-else-constraints_4.sv | 1 + .../chapter-18/18.5.8.1--foreach-iterative-constraints_0.sv | 1 + .../chapter-18/18.5.8.1--foreach-iterative-constraints_1.sv | 1 + .../18.5.8.2--array-reduction-iterative-constraints_1.sv | 1 + tests/chapter-18/18.5.9--global-constraints_1.sv | 1 + tests/chapter-18/18.6.1--randomize-method_0.sv | 1 + tests/chapter-18/18.6.2--post-randomize_method_1.sv | 1 + tests/chapter-18/18.6.2--pre-randomize-method_1.sv | 1 + .../18.6.3--behavior-of-randomization-methods_1.sv | 1 + .../18.6.3--behavior-of-randomization-methods_2.sv | 1 + .../18.6.3--behavior-of-randomization-methods_3.sv | 1 + .../18.6.3--behavior-of-randomization-methods_5.sv | 1 + tests/chapter-18/18.7--in-line-constraints--randomize_0.sv | 1 + tests/chapter-18/18.7--in-line-constraints--randomize_1.sv | 1 + tests/chapter-18/18.7--in-line-constraints--randomize_2.sv | 1 + tests/chapter-18/18.7--in-line-constraints--randomize_4.sv | 1 + tests/chapter-18/18.7--in-line-constraints--randomize_6.sv | 1 + tests/chapter-18/18.7.1--local-scope-resolution_1.sv | 1 + .../18.8--disabling-random-variables-with-rand_mode_0.sv | 1 + .../18.8--disabling-random-variables-with-rand_mode_1.sv | 1 + .../18.8--disabling-random-variables-with-rand_mode_2.sv | 1 + .../18.8--disabling-random-variables-with-rand_mode_3.sv | 1 + .../18.8--disabling-random-variables-with-rand_mode_5.sv | 1 + .../18.9--controlling-constraints-with-constraint_mode_0.sv | 1 + .../18.9--controlling-constraints-with-constraint_mode_2.sv | 1 + tests/chapter-20/20.10--error.sv | 1 + tests/chapter-20/20.10--fatal.sv | 1 + tests/chapter-20/20.10--info.sv | 1 + tests/chapter-20/20.10--warning.sv | 1 + tests/chapter-20/20.2--exit.sv | 1 + tests/chapter-20/20.2--finish.sv | 1 + tests/chapter-20/20.2--stop.sv | 1 + tests/chapter-20/20.3--realtime.sv | 1 + tests/chapter-20/20.3--stime.sv | 1 + tests/chapter-20/20.3--time.sv | 1 + tests/chapter-20/20.4--printtimescale-hier.sv | 1 + tests/chapter-20/20.4--printtimescale.sv | 1 + tests/chapter-20/20.4--timeformat.sv | 1 + tests/chapter-21/21.2--display.sv | 1 + tests/chapter-21/21.2--monitor.sv | 1 + tests/chapter-21/21.2--strobe.sv | 1 + tests/chapter-21/21.2--write.sv | 1 + tests/chapter-21/21.3--fdisplay.sv | 1 + tests/chapter-21/21.3--fflush.sv | 1 + tests/chapter-21/21.3--file.sv | 1 + tests/chapter-21/21.3--fmonitor.sv | 1 + tests/chapter-21/21.3--fpos.sv | 1 + tests/chapter-21/21.3--fread.sv | 1 + tests/chapter-21/21.3--fscanf.sv | 1 + tests/chapter-21/21.3--fstrobe.sv | 1 + tests/chapter-21/21.3--fwrite.sv | 1 + tests/chapter-21/21.3--sscanf.sv | 1 + tests/chapter-21/21.7--dumpfile.sv | 1 + tests/chapter-21/21.7--dumpports.sv | 1 + tests/chapter-22/22.7--timescale-module.sv | 1 + tests/chapter-6/6.20.6--const.sv | 1 + tests/chapter-6/6.6.7--nettype_resolution_fn.sv | 1 + tests/chapter-7/arrays/associative/arguments.sv | 1 + .../arrays/associative/locator-methods/find-first-index.sv | 1 + .../arrays/associative/locator-methods/find-first.sv | 1 + .../arrays/associative/locator-methods/find-index.sv | 1 + .../arrays/associative/locator-methods/find-last-index.sv | 1 + .../arrays/associative/locator-methods/find-last.sv | 1 + tests/chapter-7/arrays/associative/locator-methods/find.sv | 1 + tests/chapter-7/arrays/associative/locator-methods/max.sv | 1 + tests/chapter-7/arrays/associative/locator-methods/min.sv | 1 + .../arrays/associative/locator-methods/unique-index.sv | 1 + .../chapter-7/arrays/associative/locator-methods/unique.sv | 1 + tests/chapter-7/arrays/unpacked/index.sv | 1 + tests/chapter-7/arrays/unpacked/subroutines.sv | 1 + tests/chapter-7/queues/basic.sv | 1 + tests/chapter-7/queues/delete.sv | 1 + tests/chapter-7/queues/delete_assign.sv | 1 + tests/chapter-7/queues/insert.sv | 1 + tests/chapter-7/queues/insert_assign.sv | 1 + tests/chapter-7/queues/persistence.sv | 1 + tests/chapter-7/queues/pop_back.sv | 1 + tests/chapter-7/queues/pop_back_assing.sv | 1 + tests/chapter-7/queues/pop_front.sv | 1 + tests/chapter-7/queues/pop_front_assign.sv | 1 + tests/chapter-7/queues/push_back.sv | 1 + tests/chapter-7/queues/push_back_assign.sv | 1 + tests/chapter-7/queues/push_front.sv | 1 + tests/chapter-7/queues/push_front_assign.sv | 1 + tests/chapter-7/queues/size.sv | 1 + tests/chapter-7/queues/slice.sv | 1 + tests/chapter-8/8.11--this.sv | 1 + tests/chapter-8/8.12--assignment.sv | 1 + tests/chapter-8/8.12--shallow_copy.sv | 1 + tests/chapter-8/8.15--super-default-new.sv | 1 + tests/chapter-8/8.6--methods.sv | 1 + tests/chapter-9/9.3.2--parallel_block_join.sv | 1 + tests/chapter-9/9.3.2--parallel_block_join_any.sv | 1 + tests/chapter-9/9.3.2--parallel_block_join_none.sv | 1 + tests/chapter-9/9.3.3--block_start_finish.sv | 1 + tests/chapter-9/9.3.3--event.sv | 1 + tests/chapter-9/9.3.3--fork_return.sv | 1 + tests/chapter-9/9.3.4--block_names_par.sv | 1 + tests/chapter-9/9.3.5--statement_labels_par.sv | 1 + tests/chapter-9/9.4.1--delay_control-sim.sv | 1 + tests/chapter-9/9.4.1--delay_control-two-blocks-sim.sv | 1 + tests/chapter-9/9.4.1--delay_control.sv | 1 + tests/chapter-9/9.4.2--event_control_sim.sv | 1 + tests/chapter-9/9.4.2--event_control_sim_minimal.sv | 1 + tests/chapter-9/9.4.2.4--event_sequence.sv | 1 + tests/chapter-9/9.6.1--wait_fork.sv | 1 + tests/chapter-9/9.6.2--disable_other.sv | 1 + tests/chapter-9/9.6.3--disable_fork.sv | 1 + tests/chapter-9/9.7--process_cls_await.sv | 1 + tests/chapter-9/9.7--process_cls_kill.sv | 1 + tests/chapter-9/9.7--process_cls_self.sv | 1 + tests/chapter-9/9.7--process_cls_suspend_resume.sv | 1 + tests/generic/class/class_test_30.sv | 1 + tests/generic/class/class_test_52.sv | 1 + tests/generic/member/class_member_test_10.sv | 1 + tests/generic/member/class_member_test_27.sv | 1 + tests/generic/member/class_member_test_3.sv | 1 + tests/generic/member/class_member_test_4.sv | 1 + tests/generic/member/class_member_test_5.sv | 1 + tests/generic/member/class_member_test_6.sv | 1 + tests/generic/member/class_member_test_7.sv | 1 + tests/generic/member/class_member_test_8.sv | 1 + tests/generic/member/class_member_test_9.sv | 1 + tests/testbenches/uvm_agent_active.sv | 1 + tests/testbenches/uvm_agent_env.sv | 1 + tests/testbenches/uvm_agent_passive.sv | 1 + tests/testbenches/uvm_driver_sequencer_env.sv | 1 + tests/testbenches/uvm_monitor_env.sv | 1 + tests/testbenches/uvm_resource_db_read_by_name.sv | 1 + tests/testbenches/uvm_scoreboard_env.sv | 1 + tests/testbenches/uvm_scoreboard_monitor_agent_env.sv | 1 + tests/testbenches/uvm_scoreboard_monitor_env.sv | 1 + tests/testbenches/uvm_sequence.sv | 1 + tests/testbenches/uvm_test_run_test.sv | 1 + tests/uvm/uvm_files.sv | 1 + tools/BaseRunner.py | 5 ++++- tools/runner | 6 +++--- tools/runners/SynligYosys.py | 6 ++++++ tools/runners/Yosys.py | 6 ++++++ tools/sv-report | 4 +++- 257 files changed, 275 insertions(+), 6 deletions(-) diff --git a/tests/chapter-11/11.4.14.4--dynamic_array_stream-sim.sv b/tests/chapter-11/11.4.14.4--dynamic_array_stream-sim.sv index 450c5c1c0665a..37828a378be47 100644 --- a/tests/chapter-11/11.4.14.4--dynamic_array_stream-sim.sv +++ b/tests/chapter-11/11.4.14.4--dynamic_array_stream-sim.sv @@ -12,6 +12,7 @@ :description: stream unpack simulation test with dynamic array :type: simulation elaboration parsing :tags: 11.4.14.4 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-11/11.4.14.4--dynamic_array_stream.sv b/tests/chapter-11/11.4.14.4--dynamic_array_stream.sv index a20699c6e381c..c2a08a0479400 100644 --- a/tests/chapter-11/11.4.14.4--dynamic_array_stream.sv +++ b/tests/chapter-11/11.4.14.4--dynamic_array_stream.sv @@ -11,6 +11,7 @@ :name: dynamic_array_unpack_stream :description: stream unpack test with dynamic array :tags: 11.4.14.4 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-11/11.4.14.4--dynamic_array_stream_with.sv b/tests/chapter-11/11.4.14.4--dynamic_array_stream_with.sv index 783d714778f83..8ceda6db04f8e 100644 --- a/tests/chapter-11/11.4.14.4--dynamic_array_stream_with.sv +++ b/tests/chapter-11/11.4.14.4--dynamic_array_stream_with.sv @@ -11,6 +11,7 @@ :name: dynamic_array_unpack_stream_with :description: stream unpack test with dynamic array using with :tags: 11.4.14.4 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-12/12.7.3--foreach.sv b/tests/chapter-12/12.7.3--foreach.sv index 2a747aa83ac7a..f90ac6048a056 100644 --- a/tests/chapter-12/12.7.3--foreach.sv +++ b/tests/chapter-12/12.7.3--foreach.sv @@ -11,6 +11,7 @@ :name: foreach_loop :description: A module testing foreach loop :tags: 12.7.3 +:unsynthesizable: 1 */ module foreach_tb (); string test [4] = '{"111", "222", "333", "444"}; diff --git a/tests/chapter-12/12.7.6--forever.sv b/tests/chapter-12/12.7.6--forever.sv index 3f4e606d49144..969ae9bae3c58 100644 --- a/tests/chapter-12/12.7.6--forever.sv +++ b/tests/chapter-12/12.7.6--forever.sv @@ -11,8 +11,9 @@ :name: forever_loop :description: A module testing forever loop :tags: 12.7.6 +:unsynthesizable: 1 */ -module foreach_tb (); +module forever_tb (); initial begin forever begin : loop disable loop; diff --git a/tests/chapter-13/13.3.1--task-static.sv b/tests/chapter-13/13.3.1--task-static.sv index 61316effe8e16..a3e53ef24161d 100644 --- a/tests/chapter-13/13.3.1--task-static.sv +++ b/tests/chapter-13/13.3.1--task-static.sv @@ -12,6 +12,7 @@ :description: static task test :tags: 13.3.1 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-13/13.4.4--fork-invalid.sv b/tests/chapter-13/13.4.4--fork-invalid.sv index d7bc81bae8690..26b6ce5fdd2a8 100644 --- a/tests/chapter-13/13.4.4--fork-invalid.sv +++ b/tests/chapter-13/13.4.4--fork-invalid.sv @@ -13,6 +13,7 @@ :should_fail_because: only fork-join_none is permitted inside a function :tags: 13.4.4 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-13/13.4.4--fork-valid.sv b/tests/chapter-13/13.4.4--fork-valid.sv index 95681717455cf..a9c9867bebd86 100644 --- a/tests/chapter-13/13.4.4--fork-valid.sv +++ b/tests/chapter-13/13.4.4--fork-valid.sv @@ -12,6 +12,7 @@ :description: function valid fork test :tags: 13.4.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-15/15.5.1--named-event-trigger-blocking.sv b/tests/chapter-15/15.5.1--named-event-trigger-blocking.sv index 0a04ded99562d..058b262f2372a 100644 --- a/tests/chapter-15/15.5.1--named-event-trigger-blocking.sv +++ b/tests/chapter-15/15.5.1--named-event-trigger-blocking.sv @@ -12,6 +12,7 @@ :description: Trigger named event, blocking :tags: 15.5 :top_module: top +:unsynthesizable: 1 */ diff --git a/tests/chapter-15/15.5.1--named-event-trigger-non-blocking.sv b/tests/chapter-15/15.5.1--named-event-trigger-non-blocking.sv index 7e916ad112720..81996ecd7dbdd 100644 --- a/tests/chapter-15/15.5.1--named-event-trigger-non-blocking.sv +++ b/tests/chapter-15/15.5.1--named-event-trigger-non-blocking.sv @@ -12,6 +12,7 @@ :description: Trigger named event, non-blocking :tags: 15.5 :top_module: top +:unsynthesizable: 1 */ diff --git a/tests/chapter-15/15.5.2--named-event-wait.sv b/tests/chapter-15/15.5.2--named-event-wait.sv index 3af5673ef8c7e..fcf842f647c3e 100644 --- a/tests/chapter-15/15.5.2--named-event-wait.sv +++ b/tests/chapter-15/15.5.2--named-event-wait.sv @@ -12,6 +12,7 @@ :description: Wait for a named event :tags: 15.5 :top_module: top +:unsynthesizable: 1 */ diff --git a/tests/chapter-16/16.10--property-local-var-fail.sv b/tests/chapter-16/16.10--property-local-var-fail.sv index 94f71a9d01226..e17f84a43c0b1 100644 --- a/tests/chapter-16/16.10--property-local-var-fail.sv +++ b/tests/chapter-16/16.10--property-local-var-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: pipeline increments value by 4 but property expects incrementation by 3 :type: simulation :tags: 16.10 +:unsynthesizable: 1 */ module clk_gen( diff --git a/tests/chapter-16/16.10--property-local-var-uvm-fail.sv b/tests/chapter-16/16.10--property-local-var-uvm-fail.sv index a59ba8716f085..1941f0addec60 100644 --- a/tests/chapter-16/16.10--property-local-var-uvm-fail.sv +++ b/tests/chapter-16/16.10--property-local-var-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: pipeline increments value by 4 but property expects incrementation by 3 :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.10--property-local-var-uvm.sv b/tests/chapter-16/16.10--property-local-var-uvm.sv index 4e8145c9fb2c7..4b26dbf991c5d 100644 --- a/tests/chapter-16/16.10--property-local-var-uvm.sv +++ b/tests/chapter-16/16.10--property-local-var-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.10--property-local-var.sv b/tests/chapter-16/16.10--property-local-var.sv index 461734738208b..877fc8bb74d05 100644 --- a/tests/chapter-16/16.10--property-local-var.sv +++ b/tests/chapter-16/16.10--property-local-var.sv @@ -12,6 +12,7 @@ :description: property with local variables :type: simulation elaboration parsing :tags: 16.10 +:unsynthesizable: 1 */ module clk_gen( diff --git a/tests/chapter-16/16.10--sequence-local-var-fail.sv b/tests/chapter-16/16.10--sequence-local-var-fail.sv index 586541e9e2975..8eb83815af1a1 100644 --- a/tests/chapter-16/16.10--sequence-local-var-fail.sv +++ b/tests/chapter-16/16.10--sequence-local-var-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: pipeline increments value by 4 but sequence expects incrementation by 3 :type: simulation :tags: 16.10 +:unsynthesizable: 1 */ module clk_gen( diff --git a/tests/chapter-16/16.10--sequence-local-var-uvm.sv b/tests/chapter-16/16.10--sequence-local-var-uvm.sv index ffb469a953d11..f8d6d7776c022 100644 --- a/tests/chapter-16/16.10--sequence-local-var-uvm.sv +++ b/tests/chapter-16/16.10--sequence-local-var-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.10--sequence-local-var.sv b/tests/chapter-16/16.10--sequence-local-var.sv index 896594d6bc45f..4916a15c098f6 100644 --- a/tests/chapter-16/16.10--sequence-local-var.sv +++ b/tests/chapter-16/16.10--sequence-local-var.sv @@ -12,6 +12,7 @@ :description: sequence with local variables :type: simulation elaboration parsing :tags: 16.10 +:unsynthesizable: 1 */ module clk_gen( diff --git a/tests/chapter-16/16.11--sequence-subroutine-uvm.sv b/tests/chapter-16/16.11--sequence-subroutine-uvm.sv index 4944cc9974036..3dbd4a4c5c565 100644 --- a/tests/chapter-16/16.11--sequence-subroutine-uvm.sv +++ b/tests/chapter-16/16.11--sequence-subroutine-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-interface-prec-uvm.sv b/tests/chapter-16/16.12--property-interface-prec-uvm.sv index 9ec31a6ce654e..14fb7f0621828 100644 --- a/tests/chapter-16/16.12--property-interface-prec-uvm.sv +++ b/tests/chapter-16/16.12--property-interface-prec-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-interface-uvm-fail.sv b/tests/chapter-16/16.12--property-interface-uvm-fail.sv index 9133bad51ea89..5c8e73a32c8c1 100644 --- a/tests/chapter-16/16.12--property-interface-uvm-fail.sv +++ b/tests/chapter-16/16.12--property-interface-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: mem_ctrl asserts read and write at the same time and property checks that one or the other is asserted :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-interface-uvm.sv b/tests/chapter-16/16.12--property-interface-uvm.sv index 9d3a3409f628e..c146b76dca83e 100644 --- a/tests/chapter-16/16.12--property-interface-uvm.sv +++ b/tests/chapter-16/16.12--property-interface-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-prec-uvm-fail.sv b/tests/chapter-16/16.12--property-prec-uvm-fail.sv index 780c47a0d53e3..e196165d66ab8 100644 --- a/tests/chapter-16/16.12--property-prec-uvm-fail.sv +++ b/tests/chapter-16/16.12--property-prec-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: mem_ctrl interleaves reads and writes and property requires to keep reading :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-prec-uvm.sv b/tests/chapter-16/16.12--property-prec-uvm.sv index 143707c7ec4e1..bb27ec09c2a90 100644 --- a/tests/chapter-16/16.12--property-prec-uvm.sv +++ b/tests/chapter-16/16.12--property-prec-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-uvm-fail.sv b/tests/chapter-16/16.12--property-uvm-fail.sv index 48771baa2b34a..b833312db141b 100644 --- a/tests/chapter-16/16.12--property-uvm-fail.sv +++ b/tests/chapter-16/16.12--property-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: mem_ctrl asserts read and write at the same time and property checks that one or the other is asserted :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.12--property-uvm.sv b/tests/chapter-16/16.12--property-uvm.sv index 935bdd9fd65f9..3562858c1138b 100644 --- a/tests/chapter-16/16.12--property-uvm.sv +++ b/tests/chapter-16/16.12--property-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.13--sequence-multiclock-uvm.sv b/tests/chapter-16/16.13--sequence-multiclock-uvm.sv index acc4cdbca72e4..5e0195e156d46 100644 --- a/tests/chapter-16/16.13--sequence-multiclock-uvm.sv +++ b/tests/chapter-16/16.13--sequence-multiclock-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.14--assume-property-uvm-fail.sv b/tests/chapter-16/16.14--assume-property-uvm-fail.sv index 8636fa6bc361d..15d70bdea6b41 100644 --- a/tests/chapter-16/16.14--assume-property-uvm-fail.sv +++ b/tests/chapter-16/16.14--assume-property-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: dut asserts read and write at the same time :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.14--assume-property-uvm.sv b/tests/chapter-16/16.14--assume-property-uvm.sv index b371b3af0c121..5196853b4ca55 100644 --- a/tests/chapter-16/16.14--assume-property-uvm.sv +++ b/tests/chapter-16/16.14--assume-property-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.15--property-disable-iff-fail.sv b/tests/chapter-16/16.15--property-disable-iff-fail.sv index c91109e5d1ae6..f1c3789b7c771 100644 --- a/tests/chapter-16/16.15--property-disable-iff-fail.sv +++ b/tests/chapter-16/16.15--property-disable-iff-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: disable iff uses wrong reset polarity :type: simulation :tags: 16.15 +:unsynthesizable: 1 */ module clk_gen( diff --git a/tests/chapter-16/16.15--property-disable-iff.sv b/tests/chapter-16/16.15--property-disable-iff.sv index e6d533833df5b..11f924c2f9da5 100644 --- a/tests/chapter-16/16.15--property-disable-iff.sv +++ b/tests/chapter-16/16.15--property-disable-iff.sv @@ -12,6 +12,7 @@ :description: property with disable iff :type: simulation elaboration parsing :tags: 16.15 +:unsynthesizable: 1 */ module clk_gen( diff --git a/tests/chapter-16/16.15--property-iff-uvm-fail.sv b/tests/chapter-16/16.15--property-iff-uvm-fail.sv index a310938c8d074..fe8b876d4ce06 100644 --- a/tests/chapter-16/16.15--property-iff-uvm-fail.sv +++ b/tests/chapter-16/16.15--property-iff-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: disable iff uses wrong reset polarity :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.15--property-iff-uvm.sv b/tests/chapter-16/16.15--property-iff-uvm.sv index 9277c0dd0e0db..57de7d0320175 100644 --- a/tests/chapter-16/16.15--property-iff-uvm.sv +++ b/tests/chapter-16/16.15--property-iff-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.17--expect-uvm-fail.sv b/tests/chapter-16/16.17--expect-uvm-fail.sv index 6712f46977ace..4616a97060e40 100644 --- a/tests/chapter-16/16.17--expect-uvm-fail.sv +++ b/tests/chapter-16/16.17--expect-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: read is asserted every 2 cycles :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.17--expect-uvm.sv b/tests/chapter-16/16.17--expect-uvm.sv index 8a67b24c83e2b..cfeb31ee6f9bf 100644 --- a/tests/chapter-16/16.17--expect-uvm.sv +++ b/tests/chapter-16/16.17--expect-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.2--assert-final-uvm.sv b/tests/chapter-16/16.2--assert-final-uvm.sv index 458635b037cd0..0e177bc3873b8 100644 --- a/tests/chapter-16/16.2--assert-final-uvm.sv +++ b/tests/chapter-16/16.2--assert-final-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.2--assert-uvm.sv b/tests/chapter-16/16.2--assert-uvm.sv index 8925757256d28..8bfdfce260deb 100644 --- a/tests/chapter-16/16.2--assert-uvm.sv +++ b/tests/chapter-16/16.2--assert-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.2--assert0-uvm.sv b/tests/chapter-16/16.2--assert0-uvm.sv index 5390cfee384c2..3f2be968b2ce3 100644 --- a/tests/chapter-16/16.2--assert0-uvm.sv +++ b/tests/chapter-16/16.2--assert0-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.2--assume-uvm-fail.sv b/tests/chapter-16/16.2--assume-uvm-fail.sv index 8a0dc1f7f967b..69cbe0b52bb64 100644 --- a/tests/chapter-16/16.2--assume-uvm-fail.sv +++ b/tests/chapter-16/16.2--assume-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: adder returns wrong value and assume expects correct result (a+b) :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.2--assume-uvm.sv b/tests/chapter-16/16.2--assume-uvm.sv index 5e6a1f7fbdb0e..b05ecd0fbd591 100644 --- a/tests/chapter-16/16.2--assume-uvm.sv +++ b/tests/chapter-16/16.2--assume-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-and-range-uvm.sv b/tests/chapter-16/16.7--sequence-and-range-uvm.sv index f19483d0e953c..9c2cc7dad5539 100644 --- a/tests/chapter-16/16.7--sequence-and-range-uvm.sv +++ b/tests/chapter-16/16.7--sequence-and-range-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-and-uvm.sv b/tests/chapter-16/16.7--sequence-and-uvm.sv index 29c9c66dde504..68a64e3a8ddc5 100644 --- a/tests/chapter-16/16.7--sequence-and-uvm.sv +++ b/tests/chapter-16/16.7--sequence-and-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-intersect-uvm-fail.sv b/tests/chapter-16/16.7--sequence-intersect-uvm-fail.sv index 1e2a993c091b1..679af006063ef 100644 --- a/tests/chapter-16/16.7--sequence-intersect-uvm-fail.sv +++ b/tests/chapter-16/16.7--sequence-intersect-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: intersecting sequences must start and end at the same time but gnt1 is asserted later :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-intersect-uvm.sv b/tests/chapter-16/16.7--sequence-intersect-uvm.sv index 81af13da388c3..fd03073432365 100644 --- a/tests/chapter-16/16.7--sequence-intersect-uvm.sv +++ b/tests/chapter-16/16.7--sequence-intersect-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-or-uvm.sv b/tests/chapter-16/16.7--sequence-or-uvm.sv index be80dbcbe2f1f..046a7234483c1 100644 --- a/tests/chapter-16/16.7--sequence-or-uvm.sv +++ b/tests/chapter-16/16.7--sequence-or-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-throughout-uvm-fail.sv b/tests/chapter-16/16.7--sequence-throughout-uvm-fail.sv index a16a8126edafe..c5bd907331f5a 100644 --- a/tests/chapter-16/16.7--sequence-throughout-uvm-fail.sv +++ b/tests/chapter-16/16.7--sequence-throughout-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: gnt2 is not asserted :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-throughout-uvm.sv b/tests/chapter-16/16.7--sequence-throughout-uvm.sv index bdf16526d2fe3..41913daba7916 100644 --- a/tests/chapter-16/16.7--sequence-throughout-uvm.sv +++ b/tests/chapter-16/16.7--sequence-throughout-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-uvm-fail.sv b/tests/chapter-16/16.7--sequence-uvm-fail.sv index fea2f4ed376eb..3d0c0218e6231 100644 --- a/tests/chapter-16/16.7--sequence-uvm-fail.sv +++ b/tests/chapter-16/16.7--sequence-uvm-fail.sv @@ -13,6 +13,7 @@ :should_fail_because: mem_ctrl interleaves reads and writes and sequence requires to keep reading :type: simulation :tags: uvm uvm-assertions +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence-uvm.sv b/tests/chapter-16/16.7--sequence-uvm.sv index 3f71313791950..5a3ab24a096cd 100644 --- a/tests/chapter-16/16.7--sequence-uvm.sv +++ b/tests/chapter-16/16.7--sequence-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.7--sequence.sv b/tests/chapter-16/16.7--sequence.sv index 0d85d701d14f5..d274acdc5d5f4 100644 --- a/tests/chapter-16/16.7--sequence.sv +++ b/tests/chapter-16/16.7--sequence.sv @@ -11,6 +11,7 @@ :name: sequence_test :description: sequence test :tags: 16.7 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-16/16.9--sequence-changed-uvm.sv b/tests/chapter-16/16.9--sequence-changed-uvm.sv index 1d616935ec985..b8ffb369ec5c8 100644 --- a/tests/chapter-16/16.9--sequence-changed-uvm.sv +++ b/tests/chapter-16/16.9--sequence-changed-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.9--sequence-cons-repetition.sv b/tests/chapter-16/16.9--sequence-cons-repetition.sv index cb644a55ab915..0cbfed48704cf 100644 --- a/tests/chapter-16/16.9--sequence-cons-repetition.sv +++ b/tests/chapter-16/16.9--sequence-cons-repetition.sv @@ -11,6 +11,7 @@ :name: sequence_consecutive_repetition_test :description: sequence with consecutive repetition operator test :tags: 16.9 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-16/16.9--sequence-fell-uvm.sv b/tests/chapter-16/16.9--sequence-fell-uvm.sv index 21592238e2caa..67607384b8bed 100644 --- a/tests/chapter-16/16.9--sequence-fell-uvm.sv +++ b/tests/chapter-16/16.9--sequence-fell-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.9--sequence-goto-repetition.sv b/tests/chapter-16/16.9--sequence-goto-repetition.sv index 24cdf673fd90f..bca195756df39 100644 --- a/tests/chapter-16/16.9--sequence-goto-repetition.sv +++ b/tests/chapter-16/16.9--sequence-goto-repetition.sv @@ -11,6 +11,7 @@ :name: sequence_goto_repetition_test :description: sequence with goto repetition operator test :tags: 16.9 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-16/16.9--sequence-noncons-repetition.sv b/tests/chapter-16/16.9--sequence-noncons-repetition.sv index b832e3e70c673..0de1b198e34e2 100644 --- a/tests/chapter-16/16.9--sequence-noncons-repetition.sv +++ b/tests/chapter-16/16.9--sequence-noncons-repetition.sv @@ -11,6 +11,7 @@ :name: sequence_nonconsecutive_repetition_test :description: sequence with nonconsecutive repetition operator test :tags: 16.9 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-16/16.9--sequence-past-uvm.sv b/tests/chapter-16/16.9--sequence-past-uvm.sv index 101fec630cdec..6e0f7b15ecd75 100644 --- a/tests/chapter-16/16.9--sequence-past-uvm.sv +++ b/tests/chapter-16/16.9--sequence-past-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.9--sequence-rose-uvm.sv b/tests/chapter-16/16.9--sequence-rose-uvm.sv index f3965242c5784..5a6053dd44be8 100644 --- a/tests/chapter-16/16.9--sequence-rose-uvm.sv +++ b/tests/chapter-16/16.9--sequence-rose-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-16/16.9--sequence-stable-uvm.sv b/tests/chapter-16/16.9--sequence-stable-uvm.sv index af5f95ec3616b..26f1490003fe7 100644 --- a/tests/chapter-16/16.9--sequence-stable-uvm.sv +++ b/tests/chapter-16/16.9--sequence-stable-uvm.sv @@ -13,6 +13,7 @@ :type: simulation elaboration parsing :tags: uvm uvm-assertions :timeout: 60 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.10--dynamic-constraint-modification_0.sv b/tests/chapter-18/18.10--dynamic-constraint-modification_0.sv index 1e88352fc1570..38e6a0572d41e 100644 --- a/tests/chapter-18/18.10--dynamic-constraint-modification_0.sv +++ b/tests/chapter-18/18.10--dynamic-constraint-modification_0.sv @@ -11,6 +11,7 @@ :name: dynamic_constraint_modification_0 :description: dynamic constraint modification test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.11--in-line-random-variable-control_0.sv b/tests/chapter-18/18.11--in-line-random-variable-control_0.sv index ee43a59f569dd..144247d9296f6 100644 --- a/tests/chapter-18/18.11--in-line-random-variable-control_0.sv +++ b/tests/chapter-18/18.11--in-line-random-variable-control_0.sv @@ -11,6 +11,7 @@ :name: in-line_random_variable-control_0 :description: in-line random variable control test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.11--in-line-random-variable-control_1.sv b/tests/chapter-18/18.11--in-line-random-variable-control_1.sv index b217d4294c4bb..d197f2ab9f5a5 100644 --- a/tests/chapter-18/18.11--in-line-random-variable-control_1.sv +++ b/tests/chapter-18/18.11--in-line-random-variable-control_1.sv @@ -11,6 +11,7 @@ :name: in-line_random_variable-control_1 :description: in-line random variable control test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.11.1--in-line-constraint-checker_0.sv b/tests/chapter-18/18.11.1--in-line-constraint-checker_0.sv index 7f57e255570dd..4db1ab8f48ed5 100644 --- a/tests/chapter-18/18.11.1--in-line-constraint-checker_0.sv +++ b/tests/chapter-18/18.11.1--in-line-constraint-checker_0.sv @@ -11,6 +11,7 @@ :name: in-line_constraint_checker_0 :description: in-line constraint checker test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.11.1--in-line-constraint-checker_1.sv b/tests/chapter-18/18.11.1--in-line-constraint-checker_1.sv index 473f125525435..1b2c91bd256a9 100644 --- a/tests/chapter-18/18.11.1--in-line-constraint-checker_1.sv +++ b/tests/chapter-18/18.11.1--in-line-constraint-checker_1.sv @@ -11,6 +11,7 @@ :name: in-line_constraint_checker_1 :description: in-line constraint checker test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.12--randomization-of-scope-variables_1.sv b/tests/chapter-18/18.12--randomization-of-scope-variables_1.sv index 6522b017b9104..dadf9ceee05e5 100644 --- a/tests/chapter-18/18.12--randomization-of-scope-variables_1.sv +++ b/tests/chapter-18/18.12--randomization-of-scope-variables_1.sv @@ -11,6 +11,7 @@ :name: randomization_of_scope_variables_1 :description: Randomization of scope variables - std::randomize() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.12.1--adding-constraints-to-scope-variables_1.sv b/tests/chapter-18/18.12.1--adding-constraints-to-scope-variables_1.sv index 59556470f1d60..57365e491402f 100644 --- a/tests/chapter-18/18.12.1--adding-constraints-to-scope-variables_1.sv +++ b/tests/chapter-18/18.12.1--adding-constraints-to-scope-variables_1.sv @@ -11,6 +11,7 @@ :name: adding_constraints_to_scope_variables_1 :description: Adding constraints to scope variablesā€”std::randomize() with - test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.1--urandom_1.sv b/tests/chapter-18/18.13.1--urandom_1.sv index 6a03aa57fa61e..b7a91db7b8f79 100644 --- a/tests/chapter-18/18.13.1--urandom_1.sv +++ b/tests/chapter-18/18.13.1--urandom_1.sv @@ -11,6 +11,7 @@ :name: urandom_1 :description: urandom() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.1--urandom_3.sv b/tests/chapter-18/18.13.1--urandom_3.sv index 97e872623296f..110de97991583 100644 --- a/tests/chapter-18/18.13.1--urandom_3.sv +++ b/tests/chapter-18/18.13.1--urandom_3.sv @@ -11,6 +11,7 @@ :name: urandom_3 :description: urandom() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.2--urandom_range_1.sv b/tests/chapter-18/18.13.2--urandom_range_1.sv index 82df2ecfbbee2..848050e62f517 100644 --- a/tests/chapter-18/18.13.2--urandom_range_1.sv +++ b/tests/chapter-18/18.13.2--urandom_range_1.sv @@ -11,6 +11,7 @@ :name: urandom_range_1 :description: urandom_range() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.2--urandom_range_2.sv b/tests/chapter-18/18.13.2--urandom_range_2.sv index 376b9f6077521..1e2b0f4ebffc1 100644 --- a/tests/chapter-18/18.13.2--urandom_range_2.sv +++ b/tests/chapter-18/18.13.2--urandom_range_2.sv @@ -11,6 +11,7 @@ :name: urandom_range_2 :description: urandom_range() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.2--urandom_range_3.sv b/tests/chapter-18/18.13.2--urandom_range_3.sv index 59bae0a33a9be..aed02928b2134 100644 --- a/tests/chapter-18/18.13.2--urandom_range_3.sv +++ b/tests/chapter-18/18.13.2--urandom_range_3.sv @@ -11,6 +11,7 @@ :name: urandom_range_3 :description: urandom_range() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.3--srandom_0.sv b/tests/chapter-18/18.13.3--srandom_0.sv index 453d9f9cf157f..3978bab878563 100644 --- a/tests/chapter-18/18.13.3--srandom_0.sv +++ b/tests/chapter-18/18.13.3--srandom_0.sv @@ -11,6 +11,7 @@ :name: srandom_0 :description: srandom() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.4--get_randstate_0.sv b/tests/chapter-18/18.13.4--get_randstate_0.sv index 34c91025227ab..ea16f24ab5b97 100644 --- a/tests/chapter-18/18.13.4--get_randstate_0.sv +++ b/tests/chapter-18/18.13.4--get_randstate_0.sv @@ -11,6 +11,7 @@ :name: get_randstate_0 :description: get_randstate() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.13.5--set_randstate_0.sv b/tests/chapter-18/18.13.5--set_randstate_0.sv index a7431a76ac9ef..9ff240c2d93a6 100644 --- a/tests/chapter-18/18.13.5--set_randstate_0.sv +++ b/tests/chapter-18/18.13.5--set_randstate_0.sv @@ -11,6 +11,7 @@ :name: set_randstate_0 :description: set_randstate() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14--random-stability_0.sv b/tests/chapter-18/18.14--random-stability_0.sv index 6616e78e225aa..be3e881695ff9 100644 --- a/tests/chapter-18/18.14--random-stability_0.sv +++ b/tests/chapter-18/18.14--random-stability_0.sv @@ -11,6 +11,7 @@ :name: random_stability_0 :description: random stability - urandom_range test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14--random-stability_1.sv b/tests/chapter-18/18.14--random-stability_1.sv index 9e8254423a32c..a5df06c6173fd 100644 --- a/tests/chapter-18/18.14--random-stability_1.sv +++ b/tests/chapter-18/18.14--random-stability_1.sv @@ -11,6 +11,7 @@ :name: random_stability_1 :description: random stability - shuffle test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14--random-stability_2.sv b/tests/chapter-18/18.14--random-stability_2.sv index 42bcf0b14c84c..0b60bffaf79a4 100644 --- a/tests/chapter-18/18.14--random-stability_2.sv +++ b/tests/chapter-18/18.14--random-stability_2.sv @@ -11,6 +11,7 @@ :name: random_stability_2 :description: random stability - randcase test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14--random-stability_3.sv b/tests/chapter-18/18.14--random-stability_3.sv index c8946e6a74015..a790e9cedf8d4 100644 --- a/tests/chapter-18/18.14--random-stability_3.sv +++ b/tests/chapter-18/18.14--random-stability_3.sv @@ -11,6 +11,7 @@ :name: random_stability_3 :description: random stability - randcase test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14.2--thread-stability_0.sv b/tests/chapter-18/18.14.2--thread-stability_0.sv index 1b435959b78e5..2ffb2551501d9 100644 --- a/tests/chapter-18/18.14.2--thread-stability_0.sv +++ b/tests/chapter-18/18.14.2--thread-stability_0.sv @@ -11,6 +11,7 @@ :name: thread_stability_0 :description: thread stability test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14.2--thread-stability_1.sv b/tests/chapter-18/18.14.2--thread-stability_1.sv index 9f326924d5f54..e3a000592d843 100644 --- a/tests/chapter-18/18.14.2--thread-stability_1.sv +++ b/tests/chapter-18/18.14.2--thread-stability_1.sv @@ -11,6 +11,7 @@ :name: thread_stability_1 :description: thread stability test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14.3--object-stability_0.sv b/tests/chapter-18/18.14.3--object-stability_0.sv index d993d428f1955..5e1eca5c3ca12 100644 --- a/tests/chapter-18/18.14.3--object-stability_0.sv +++ b/tests/chapter-18/18.14.3--object-stability_0.sv @@ -11,6 +11,7 @@ :name: object_stability_0 :description: object stability test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.14.3--object-stability_1.sv b/tests/chapter-18/18.14.3--object-stability_1.sv index e5a0ae7eda91c..ce6ebf3017354 100644 --- a/tests/chapter-18/18.14.3--object-stability_1.sv +++ b/tests/chapter-18/18.14.3--object-stability_1.sv @@ -11,6 +11,7 @@ :name: object_stability_1 :description: object stability test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.15--manually-seeding-randomize_1.sv b/tests/chapter-18/18.15--manually-seeding-randomize_1.sv index 5e8730455c150..b705625214cd6 100644 --- a/tests/chapter-18/18.15--manually-seeding-randomize_1.sv +++ b/tests/chapter-18/18.15--manually-seeding-randomize_1.sv @@ -11,6 +11,7 @@ :name: manually_seeding_randomize_1 :description: manually seeding randomize test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.17--random-sequence-generation-randsequence_0.sv b/tests/chapter-18/18.17--random-sequence-generation-randsequence_0.sv index 13a871076a209..16a3a1802db16 100644 --- a/tests/chapter-18/18.17--random-sequence-generation-randsequence_0.sv +++ b/tests/chapter-18/18.17--random-sequence-generation-randsequence_0.sv @@ -12,6 +12,7 @@ :description: randsequence test :type: simulation elaboration parsing :tags: 18.17 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17--random-sequence-generation-randsequence_2.sv b/tests/chapter-18/18.17--random-sequence-generation-randsequence_2.sv index c0d049ab77a07..496247f5f09b7 100644 --- a/tests/chapter-18/18.17--random-sequence-generation-randsequence_2.sv +++ b/tests/chapter-18/18.17--random-sequence-generation-randsequence_2.sv @@ -12,6 +12,7 @@ :description: randsequence test :type: simulation elaboration parsing :tags: 18.17 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.1--random-production-weights_0.sv b/tests/chapter-18/18.17.1--random-production-weights_0.sv index a4fb1048478ba..de7afa5fcc1fd 100644 --- a/tests/chapter-18/18.17.1--random-production-weights_0.sv +++ b/tests/chapter-18/18.17.1--random-production-weights_0.sv @@ -12,6 +12,7 @@ :description: randsequence weights test :type: simulation elaboration parsing :tags: 18.17.1 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.2--if-else-production-statements_0.sv b/tests/chapter-18/18.17.2--if-else-production-statements_0.sv index 32a8c6a57bd2f..7b30524c7f1df 100644 --- a/tests/chapter-18/18.17.2--if-else-production-statements_0.sv +++ b/tests/chapter-18/18.17.2--if-else-production-statements_0.sv @@ -12,6 +12,7 @@ :description: randcase if-else test :type: simulation elaboration parsing :tags: 18.17.2 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.2--if-else-production-statements_0_fail.sv b/tests/chapter-18/18.17.2--if-else-production-statements_0_fail.sv index cbfaa284883a1..6a265264f3e65 100644 --- a/tests/chapter-18/18.17.2--if-else-production-statements_0_fail.sv +++ b/tests/chapter-18/18.17.2--if-else-production-statements_0_fail.sv @@ -13,6 +13,7 @@ :should_fail_because: switch variable not declared :type: elaboration :tags: 18.17.2 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.2--if-else-production-statements_2.sv b/tests/chapter-18/18.17.2--if-else-production-statements_2.sv index 85b92f92a641e..dc075b49e3979 100644 --- a/tests/chapter-18/18.17.2--if-else-production-statements_2.sv +++ b/tests/chapter-18/18.17.2--if-else-production-statements_2.sv @@ -12,6 +12,7 @@ :description: randcase if-else test :type: simulation elaboration parsing :tags: 18.17.2 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.2--if-else-production-statements_2_fail.sv b/tests/chapter-18/18.17.2--if-else-production-statements_2_fail.sv index 4ad36b8d539b7..32d78e5b3c61d 100644 --- a/tests/chapter-18/18.17.2--if-else-production-statements_2_fail.sv +++ b/tests/chapter-18/18.17.2--if-else-production-statements_2_fail.sv @@ -13,6 +13,7 @@ :should_fail_because: switch variable not declared :type: elaboration :tags: 18.17.2 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.3--case-production-statements_0.sv b/tests/chapter-18/18.17.3--case-production-statements_0.sv index e0e3a3d8ddd73..cadf49bc8ca1d 100644 --- a/tests/chapter-18/18.17.3--case-production-statements_0.sv +++ b/tests/chapter-18/18.17.3--case-production-statements_0.sv @@ -12,6 +12,7 @@ :description: randcase case statement test :type: simulation elaboration parsing :tags: 18.17.3 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.3--case-production-statements_0_fail.sv b/tests/chapter-18/18.17.3--case-production-statements_0_fail.sv index cc140df97bcc7..7c442d711872a 100644 --- a/tests/chapter-18/18.17.3--case-production-statements_0_fail.sv +++ b/tests/chapter-18/18.17.3--case-production-statements_0_fail.sv @@ -13,6 +13,7 @@ :should_fail_because: switch variable not declared :type: elaboration :tags: 18.17.3 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.4--repeat-production-statements_0.sv b/tests/chapter-18/18.17.4--repeat-production-statements_0.sv index 636cbebd0b388..0197a959760bf 100644 --- a/tests/chapter-18/18.17.4--repeat-production-statements_0.sv +++ b/tests/chapter-18/18.17.4--repeat-production-statements_0.sv @@ -12,6 +12,7 @@ :description: repeat statement test :type: simulation elaboration parsing :tags: 18.17.4 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.5--interleaving-productions-rand-join_0.sv b/tests/chapter-18/18.17.5--interleaving-productions-rand-join_0.sv index 99c0169eb2daa..23096ee24fd35 100644 --- a/tests/chapter-18/18.17.5--interleaving-productions-rand-join_0.sv +++ b/tests/chapter-18/18.17.5--interleaving-productions-rand-join_0.sv @@ -12,6 +12,7 @@ :description: rand join statement test :type: simulation elaboration parsing :tags: 18.17.5 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.5--interleaving-productions-rand-join_2.sv b/tests/chapter-18/18.17.5--interleaving-productions-rand-join_2.sv index d8066b3160279..fcb323b18ff7b 100644 --- a/tests/chapter-18/18.17.5--interleaving-productions-rand-join_2.sv +++ b/tests/chapter-18/18.17.5--interleaving-productions-rand-join_2.sv @@ -12,6 +12,7 @@ :description: rand join statement test :type: simulation elaboration parsing :tags: 18.17.5 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.6--aborting-productions-break-and-return_0.sv b/tests/chapter-18/18.17.6--aborting-productions-break-and-return_0.sv index 8a1d9c1b34c6c..67455ffe6d87d 100644 --- a/tests/chapter-18/18.17.6--aborting-productions-break-and-return_0.sv +++ b/tests/chapter-18/18.17.6--aborting-productions-break-and-return_0.sv @@ -12,6 +12,7 @@ :description: break statement test :type: simulation elaboration parsing :tags: 18.17.6 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2.sv b/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2.sv index d4639927ef170..2ae152b0a73c5 100644 --- a/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2.sv +++ b/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2.sv @@ -12,6 +12,7 @@ :description: return statement test :type: simulation elaboration parsing :tags: 18.17.6 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2_fail.sv b/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2_fail.sv index cbb8408c3f4e9..0c33f7d8ba50a 100644 --- a/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2_fail.sv +++ b/tests/chapter-18/18.17.6--aborting-productions-break-and-return_2_fail.sv @@ -13,6 +13,7 @@ :should_fail_because: typo in production name :type: elaboration :tags: 18.17.6 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.17.7--value-passing-between-productions_0.sv b/tests/chapter-18/18.17.7--value-passing-between-productions_0.sv index 535a0127e6061..9c963f6ca6e30 100644 --- a/tests/chapter-18/18.17.7--value-passing-between-productions_0.sv +++ b/tests/chapter-18/18.17.7--value-passing-between-productions_0.sv @@ -12,6 +12,7 @@ :description: value passing in randsequence test :type: simulation elaboration parsing :tags: 18.17.7 +:unsynthesizable: 1 */ function int F(); diff --git a/tests/chapter-18/18.5--constraint-blocks_1.sv b/tests/chapter-18/18.5--constraint-blocks_1.sv index 75c498b0355c8..b72d6970b3bda 100644 --- a/tests/chapter-18/18.5--constraint-blocks_1.sv +++ b/tests/chapter-18/18.5--constraint-blocks_1.sv @@ -11,6 +11,7 @@ :name: constraint_blocks_1 :description: constraint blocks test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.1--explicit-external-constraint_2.sv b/tests/chapter-18/18.5.1--explicit-external-constraint_2.sv index 03d9ebeef8683..e91ab70211905 100644 --- a/tests/chapter-18/18.5.1--explicit-external-constraint_2.sv +++ b/tests/chapter-18/18.5.1--explicit-external-constraint_2.sv @@ -11,6 +11,7 @@ :name: explicit_external_constraint_2 :description: explicit external constraint test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.1--implicit-external-constraint_2.sv b/tests/chapter-18/18.5.1--implicit-external-constraint_2.sv index 5732db92121de..d5ec961bc5829 100644 --- a/tests/chapter-18/18.5.1--implicit-external-constraint_2.sv +++ b/tests/chapter-18/18.5.1--implicit-external-constraint_2.sv @@ -11,6 +11,7 @@ :name: implicit_external_constraint_2 :description: implicit external constraint test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.11--static-constraint-blocks_1.sv b/tests/chapter-18/18.5.11--static-constraint-blocks_1.sv index 34da0aa3e9b32..f2f0ebf4d20a1 100644 --- a/tests/chapter-18/18.5.11--static-constraint-blocks_1.sv +++ b/tests/chapter-18/18.5.11--static-constraint-blocks_1.sv @@ -11,6 +11,7 @@ :name: static_constraint_blocks_1 :description: static constraint blocks test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.12--functions-in-constraint_1.sv b/tests/chapter-18/18.5.12--functions-in-constraint_1.sv index 35e6a9e22af85..fa12eb38f443c 100644 --- a/tests/chapter-18/18.5.12--functions-in-constraint_1.sv +++ b/tests/chapter-18/18.5.12--functions-in-constraint_1.sv @@ -11,6 +11,7 @@ :name: functions_in_constraint_1 :description: functions in constraint test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.13--constraint-guards_1.sv b/tests/chapter-18/18.5.13--constraint-guards_1.sv index 157b9548868cc..e75c8f1dd2e34 100644 --- a/tests/chapter-18/18.5.13--constraint-guards_1.sv +++ b/tests/chapter-18/18.5.13--constraint-guards_1.sv @@ -11,6 +11,7 @@ :name: constraint_guards_1 :description: constraint guards test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14--soft-constraints_1.sv b/tests/chapter-18/18.5.14--soft-constraints_1.sv index 3dcc5783179a5..cd67b44ec33d0 100644 --- a/tests/chapter-18/18.5.14--soft-constraints_1.sv +++ b/tests/chapter-18/18.5.14--soft-constraints_1.sv @@ -11,6 +11,7 @@ :name: soft_constraints_1 :description: soft constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14.1--soft-constraint-priorities_1.sv b/tests/chapter-18/18.5.14.1--soft-constraint-priorities_1.sv index c68b5f8b6d9f5..324af8ed17a37 100644 --- a/tests/chapter-18/18.5.14.1--soft-constraint-priorities_1.sv +++ b/tests/chapter-18/18.5.14.1--soft-constraint-priorities_1.sv @@ -11,6 +11,7 @@ :name: soft_constraint_priorities_1 :description: soft constraint priorities test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14.1--soft-constraint-priorities_3.sv b/tests/chapter-18/18.5.14.1--soft-constraint-priorities_3.sv index fbb98676fcff5..da8d56746238a 100644 --- a/tests/chapter-18/18.5.14.1--soft-constraint-priorities_3.sv +++ b/tests/chapter-18/18.5.14.1--soft-constraint-priorities_3.sv @@ -11,6 +11,7 @@ :name: soft_constraint_priorities_3 :description: soft constraint priorities test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14.1--soft-constraint-priorities_4.sv b/tests/chapter-18/18.5.14.1--soft-constraint-priorities_4.sv index 4685f93a08dac..3eac76b8ff183 100644 --- a/tests/chapter-18/18.5.14.1--soft-constraint-priorities_4.sv +++ b/tests/chapter-18/18.5.14.1--soft-constraint-priorities_4.sv @@ -11,6 +11,7 @@ :name: soft_constraint_priorities_4 :description: soft constraint priorities test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14.2--discarding-soft-constraints_1.sv b/tests/chapter-18/18.5.14.2--discarding-soft-constraints_1.sv index d9ba665fae2f8..f808e4eb30566 100644 --- a/tests/chapter-18/18.5.14.2--discarding-soft-constraints_1.sv +++ b/tests/chapter-18/18.5.14.2--discarding-soft-constraints_1.sv @@ -11,6 +11,7 @@ :name: discarding_soft_constraints_1 :description: discarding soft constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14.2--discarding-soft-constraints_3.sv b/tests/chapter-18/18.5.14.2--discarding-soft-constraints_3.sv index f618ef09dad23..4513f53973375 100644 --- a/tests/chapter-18/18.5.14.2--discarding-soft-constraints_3.sv +++ b/tests/chapter-18/18.5.14.2--discarding-soft-constraints_3.sv @@ -11,6 +11,7 @@ :name: discarding_soft_constraints_3 :description: discarding soft constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.14.2--discarding-soft-constraints_5.sv b/tests/chapter-18/18.5.14.2--discarding-soft-constraints_5.sv index 24286116d59b3..ccc8dd4c4d882 100644 --- a/tests/chapter-18/18.5.14.2--discarding-soft-constraints_5.sv +++ b/tests/chapter-18/18.5.14.2--discarding-soft-constraints_5.sv @@ -11,6 +11,7 @@ :name: discarding_soft_constraints_5 :description: discarding soft constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.2--constraint-inheritance_1.sv b/tests/chapter-18/18.5.2--constraint-inheritance_1.sv index a1c5b5206e191..55ae038bdb419 100644 --- a/tests/chapter-18/18.5.2--constraint-inheritance_1.sv +++ b/tests/chapter-18/18.5.2--constraint-inheritance_1.sv @@ -11,6 +11,7 @@ :name: constraint_inheritance_1 :description: contraint inheritance test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.2--pure-constraint_1.sv b/tests/chapter-18/18.5.2--pure-constraint_1.sv index 6aa53a3ad0e3b..46df3a10560e7 100644 --- a/tests/chapter-18/18.5.2--pure-constraint_1.sv +++ b/tests/chapter-18/18.5.2--pure-constraint_1.sv @@ -11,6 +11,7 @@ :name: pure_constraint_1 :description: pure constraint test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.3--set-membership_1.sv b/tests/chapter-18/18.5.3--set-membership_1.sv index a09b103418529..243d21de30228 100644 --- a/tests/chapter-18/18.5.3--set-membership_1.sv +++ b/tests/chapter-18/18.5.3--set-membership_1.sv @@ -11,6 +11,7 @@ :name: set_membership_1 :description: set membership test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.4--distribution_1.sv b/tests/chapter-18/18.5.4--distribution_1.sv index 943a37aff9fc2..8b2c856a8d4f5 100644 --- a/tests/chapter-18/18.5.4--distribution_1.sv +++ b/tests/chapter-18/18.5.4--distribution_1.sv @@ -11,6 +11,7 @@ :name: distribution_1 :description: distribution test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.5--uniqueness-constraints_1.sv b/tests/chapter-18/18.5.5--uniqueness-constraints_1.sv index 2a370e4a9f33c..062a9daa1c1e3 100644 --- a/tests/chapter-18/18.5.5--uniqueness-constraints_1.sv +++ b/tests/chapter-18/18.5.5--uniqueness-constraints_1.sv @@ -11,6 +11,7 @@ :name: uniqueness_constraints_1 :description: uniqueness constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.6--implication_1.sv b/tests/chapter-18/18.5.6--implication_1.sv index 1076c3b3fa057..903f79b54048a 100644 --- a/tests/chapter-18/18.5.6--implication_1.sv +++ b/tests/chapter-18/18.5.6--implication_1.sv @@ -11,6 +11,7 @@ :name: implication_1 :description: implication test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.7--if-else-constraints_4.sv b/tests/chapter-18/18.5.7--if-else-constraints_4.sv index f397c052d3a40..1a0ada62aaa8a 100644 --- a/tests/chapter-18/18.5.7--if-else-constraints_4.sv +++ b/tests/chapter-18/18.5.7--if-else-constraints_4.sv @@ -11,6 +11,7 @@ :name: if_else_constraints_4 :description: if-else constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_0.sv b/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_0.sv index c967df1e159e0..8323255da2a06 100644 --- a/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_0.sv +++ b/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_0.sv @@ -11,6 +11,7 @@ :name: foreach_iterative_constraints_0 :description: foreach iterative constraints test :tags: 18.5.8.1 +:unsynthesizable: 1 */ class a; diff --git a/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_1.sv b/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_1.sv index dfc7d4d3c495e..182f9b4b3e37c 100644 --- a/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_1.sv +++ b/tests/chapter-18/18.5.8.1--foreach-iterative-constraints_1.sv @@ -11,6 +11,7 @@ :name: foreach_iterative_constraints_1 :description: foreach iterative constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.8.2--array-reduction-iterative-constraints_1.sv b/tests/chapter-18/18.5.8.2--array-reduction-iterative-constraints_1.sv index 5989c455c711e..8547a285c9bdd 100644 --- a/tests/chapter-18/18.5.8.2--array-reduction-iterative-constraints_1.sv +++ b/tests/chapter-18/18.5.8.2--array-reduction-iterative-constraints_1.sv @@ -11,6 +11,7 @@ :name: array_reduction_iterative_constraints_1 :description: array reduction iterative constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.5.9--global-constraints_1.sv b/tests/chapter-18/18.5.9--global-constraints_1.sv index a279a56a69029..803f1107ecacd 100644 --- a/tests/chapter-18/18.5.9--global-constraints_1.sv +++ b/tests/chapter-18/18.5.9--global-constraints_1.sv @@ -11,6 +11,7 @@ :name: global_constraints_1 :description: global constraints test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.1--randomize-method_0.sv b/tests/chapter-18/18.6.1--randomize-method_0.sv index 4c3ebd878b5f2..4c7343d293f89 100644 --- a/tests/chapter-18/18.6.1--randomize-method_0.sv +++ b/tests/chapter-18/18.6.1--randomize-method_0.sv @@ -11,6 +11,7 @@ :name: randomize_method_0 :description: randomize() method test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.2--post-randomize_method_1.sv b/tests/chapter-18/18.6.2--post-randomize_method_1.sv index 09151762f0f5b..bae40b6f615a0 100644 --- a/tests/chapter-18/18.6.2--post-randomize_method_1.sv +++ b/tests/chapter-18/18.6.2--post-randomize_method_1.sv @@ -11,6 +11,7 @@ :name: post_randomize_method_1 :description: post_randomize() method test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.2--pre-randomize-method_1.sv b/tests/chapter-18/18.6.2--pre-randomize-method_1.sv index ee66e76fbbd1e..0235127f69080 100644 --- a/tests/chapter-18/18.6.2--pre-randomize-method_1.sv +++ b/tests/chapter-18/18.6.2--pre-randomize-method_1.sv @@ -11,6 +11,7 @@ :name: pre_randomize_method_1 :description: pre_randomize() method test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_1.sv b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_1.sv index 0e04a11a584be..e2de2265504aa 100644 --- a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_1.sv +++ b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_1.sv @@ -11,6 +11,7 @@ :name: behavior_of_randomization_methods_1 :description: static random variables test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_2.sv b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_2.sv index 6ee7164f0255c..37a4d7bace5af 100644 --- a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_2.sv +++ b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_2.sv @@ -11,6 +11,7 @@ :name: behavior_of_randomization_methods_2 :description: If randomize() fails, the constraints are infeasible, and the random variables retain their previous values. :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_3.sv b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_3.sv index e7e08d40f5f76..d4f1b5c14e1c1 100644 --- a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_3.sv +++ b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_3.sv @@ -11,6 +11,7 @@ :name: behavior_of_randomization_methods_3 :description: If randomize() fails, post_randomize() is not called. :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_5.sv b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_5.sv index 0a97af8adb405..b6da4270d4d0b 100644 --- a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_5.sv +++ b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_5.sv @@ -13,6 +13,7 @@ :should_fail_because: The randomize() method is built-in and cannot be overridden. :tags: uvm-random uvm :type: simulation elaboration +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.7--in-line-constraints--randomize_0.sv b/tests/chapter-18/18.7--in-line-constraints--randomize_0.sv index 61d163cab3c50..91a9afdf1d6c4 100644 --- a/tests/chapter-18/18.7--in-line-constraints--randomize_0.sv +++ b/tests/chapter-18/18.7--in-line-constraints--randomize_0.sv @@ -11,6 +11,7 @@ :name: in-line_constraints--randomize_0 :description: in-line constraints test - randomize() :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.7--in-line-constraints--randomize_1.sv b/tests/chapter-18/18.7--in-line-constraints--randomize_1.sv index b64faedcc8dad..b5ea0cc547fb5 100644 --- a/tests/chapter-18/18.7--in-line-constraints--randomize_1.sv +++ b/tests/chapter-18/18.7--in-line-constraints--randomize_1.sv @@ -11,6 +11,7 @@ :name: in-line_constraints--randomize_1 :description: in-line constraints test - randomize() :tags: 18.7 +:unsynthesizable: 1 */ class a1; diff --git a/tests/chapter-18/18.7--in-line-constraints--randomize_2.sv b/tests/chapter-18/18.7--in-line-constraints--randomize_2.sv index 8a9760fe7ec4e..64b18db05e72d 100644 --- a/tests/chapter-18/18.7--in-line-constraints--randomize_2.sv +++ b/tests/chapter-18/18.7--in-line-constraints--randomize_2.sv @@ -11,6 +11,7 @@ :name: in-line_constraints--randomize_2 :description: in-line constraints test - randomize() :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.7--in-line-constraints--randomize_4.sv b/tests/chapter-18/18.7--in-line-constraints--randomize_4.sv index b6520d324defe..6405269ab5370 100644 --- a/tests/chapter-18/18.7--in-line-constraints--randomize_4.sv +++ b/tests/chapter-18/18.7--in-line-constraints--randomize_4.sv @@ -11,6 +11,7 @@ :name: in-line_constraints--randomize_4 :description: in-line constraints test - randomize() :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.7--in-line-constraints--randomize_6.sv b/tests/chapter-18/18.7--in-line-constraints--randomize_6.sv index ccc06feffeb8c..e162516db1196 100644 --- a/tests/chapter-18/18.7--in-line-constraints--randomize_6.sv +++ b/tests/chapter-18/18.7--in-line-constraints--randomize_6.sv @@ -11,6 +11,7 @@ :name: in-line_constraints--randomize_6 :description: in-line constraints test - randomize() :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.7.1--local-scope-resolution_1.sv b/tests/chapter-18/18.7.1--local-scope-resolution_1.sv index b0080de8b2b1f..5ee9d667669b9 100644 --- a/tests/chapter-18/18.7.1--local-scope-resolution_1.sv +++ b/tests/chapter-18/18.7.1--local-scope-resolution_1.sv @@ -11,6 +11,7 @@ :name: local_scope_resolution_1 :description: local:: scope resolution test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_0.sv b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_0.sv index 1b87a2b4cefbc..966d880dd6858 100644 --- a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_0.sv +++ b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_0.sv @@ -11,6 +11,7 @@ :name: disabling-random-variables-with-rand_mode_0 :description: rand_mode() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_1.sv b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_1.sv index dbdcca4abc0ca..4e4deb77035af 100644 --- a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_1.sv +++ b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_1.sv @@ -11,6 +11,7 @@ :name: disabling-random-variables-with-rand_mode_1 :description: rand_mode() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_2.sv b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_2.sv index d6eea4249e90e..3d091d80001e3 100644 --- a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_2.sv +++ b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_2.sv @@ -11,6 +11,7 @@ :name: disabling-random-variables-with-rand_mode_2 :description: rand_mode() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_3.sv b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_3.sv index 1045b4eb85890..e8333237c81e9 100644 --- a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_3.sv +++ b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_3.sv @@ -11,6 +11,7 @@ :name: disabling-random-variables-with-rand_mode_3 :description: rand_mode() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_5.sv b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_5.sv index 69e7e7b5ec7dc..6362d3f66c860 100644 --- a/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_5.sv +++ b/tests/chapter-18/18.8--disabling-random-variables-with-rand_mode_5.sv @@ -13,6 +13,7 @@ :should_fail_because: The rand_mode() method is built-in and cannot be overridden. :tags: uvm-random uvm :type: simulation elaboration +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_0.sv b/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_0.sv index 2e0a058dbdb94..756d0be87d0bc 100644 --- a/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_0.sv +++ b/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_0.sv @@ -11,6 +11,7 @@ :name: controlling-constraints-with-constraint_mode_0 :description: constraint_mode() test :tags: uvm-random uvm +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_2.sv b/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_2.sv index 79bdd8a0e5a76..53aca9d584eb7 100644 --- a/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_2.sv +++ b/tests/chapter-18/18.9--controlling-constraints-with-constraint_mode_2.sv @@ -13,6 +13,7 @@ :should_fail_because: The constraint_mode() method is built-in and cannot be overridden. :tags: uvm-random uvm :type: simulation elaboration +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/chapter-20/20.10--error.sv b/tests/chapter-20/20.10--error.sv index fe1776e1e86ef..07ef9cd55a0c1 100644 --- a/tests/chapter-20/20.10--error.sv +++ b/tests/chapter-20/20.10--error.sv @@ -14,6 +14,7 @@ :type: parsing Note this is not a simulation test, as the $warning may result in some simulators returning bad exit status. +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.10--fatal.sv b/tests/chapter-20/20.10--fatal.sv index 493bc0558e9be..9c198b3dda197 100644 --- a/tests/chapter-20/20.10--fatal.sv +++ b/tests/chapter-20/20.10--fatal.sv @@ -14,6 +14,7 @@ :type: parsing Note this is not a simulation test, as the $warning may result in some simulators returning bad exit status. +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.10--info.sv b/tests/chapter-20/20.10--info.sv index 4179318b5f2e5..54006df215ca3 100644 --- a/tests/chapter-20/20.10--info.sv +++ b/tests/chapter-20/20.10--info.sv @@ -12,6 +12,7 @@ :description: $info test :tags: 20.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.10--warning.sv b/tests/chapter-20/20.10--warning.sv index 333c29f41a41c..2e8ac4fa7ef4d 100644 --- a/tests/chapter-20/20.10--warning.sv +++ b/tests/chapter-20/20.10--warning.sv @@ -14,6 +14,7 @@ :type: parsing Note this is not a simulation test, as the $warning may result in some simulators returning bad exit status. +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.2--exit.sv b/tests/chapter-20/20.2--exit.sv index 24ff8fdd57f62..daa7f52d85e6f 100644 --- a/tests/chapter-20/20.2--exit.sv +++ b/tests/chapter-20/20.2--exit.sv @@ -11,6 +11,7 @@ :name: exit_task :description: $exit test :tags: 20.2 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.2--finish.sv b/tests/chapter-20/20.2--finish.sv index 8f03305e53777..4e76033678e3d 100644 --- a/tests/chapter-20/20.2--finish.sv +++ b/tests/chapter-20/20.2--finish.sv @@ -11,6 +11,7 @@ :name: finish_task :description: $finish test :tags: 20.2 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.2--stop.sv b/tests/chapter-20/20.2--stop.sv index 87ef2af793d1d..d07f6111672e5 100644 --- a/tests/chapter-20/20.2--stop.sv +++ b/tests/chapter-20/20.2--stop.sv @@ -11,6 +11,7 @@ :name: stop_task :description: $stop test :tags: 20.2 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.3--realtime.sv b/tests/chapter-20/20.3--realtime.sv index ef69e9705ceed..99fd14185a294 100644 --- a/tests/chapter-20/20.3--realtime.sv +++ b/tests/chapter-20/20.3--realtime.sv @@ -11,6 +11,7 @@ :name: realtime_task :description: $realtime test :tags: 20.3 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.3--stime.sv b/tests/chapter-20/20.3--stime.sv index ebde33324a2ef..7eb34e4b7c43a 100644 --- a/tests/chapter-20/20.3--stime.sv +++ b/tests/chapter-20/20.3--stime.sv @@ -11,6 +11,7 @@ :name: stime_task :description: $stime test :tags: 20.3 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.3--time.sv b/tests/chapter-20/20.3--time.sv index 84f73f9ee2eb1..7f5725988e2d6 100644 --- a/tests/chapter-20/20.3--time.sv +++ b/tests/chapter-20/20.3--time.sv @@ -11,6 +11,7 @@ :name: time_task :description: $time test :tags: 20.3 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-20/20.4--printtimescale-hier.sv b/tests/chapter-20/20.4--printtimescale-hier.sv index 3fb851838c4a9..7ba0a52db625f 100644 --- a/tests/chapter-20/20.4--printtimescale-hier.sv +++ b/tests/chapter-20/20.4--printtimescale-hier.sv @@ -12,6 +12,7 @@ :description: $printtimescale hierarchy test :tags: 20.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ `timescale 1 ms / 1 us diff --git a/tests/chapter-20/20.4--printtimescale.sv b/tests/chapter-20/20.4--printtimescale.sv index a16c20f64d347..fb37870dc5473 100644 --- a/tests/chapter-20/20.4--printtimescale.sv +++ b/tests/chapter-20/20.4--printtimescale.sv @@ -12,6 +12,7 @@ :description: $printtimescale test :tags: 20.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ `timescale 1 ms / 1 us diff --git a/tests/chapter-20/20.4--timeformat.sv b/tests/chapter-20/20.4--timeformat.sv index 1859900af3f1c..d561ab4f6d1e0 100644 --- a/tests/chapter-20/20.4--timeformat.sv +++ b/tests/chapter-20/20.4--timeformat.sv @@ -12,6 +12,7 @@ :description: $timeformat test :tags: 20.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ `timescale 1 fs / 1 fs diff --git a/tests/chapter-21/21.2--display.sv b/tests/chapter-21/21.2--display.sv index 9e482fe8dc7f8..1f30bc4c60ea3 100644 --- a/tests/chapter-21/21.2--display.sv +++ b/tests/chapter-21/21.2--display.sv @@ -12,6 +12,7 @@ :description: $display test :tags: 21.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.2--monitor.sv b/tests/chapter-21/21.2--monitor.sv index 834235117b58f..3561d0420441b 100644 --- a/tests/chapter-21/21.2--monitor.sv +++ b/tests/chapter-21/21.2--monitor.sv @@ -12,6 +12,7 @@ :description: $monitor test :tags: 21.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.2--strobe.sv b/tests/chapter-21/21.2--strobe.sv index 09676fd568a3f..b7004a3a0fc1d 100644 --- a/tests/chapter-21/21.2--strobe.sv +++ b/tests/chapter-21/21.2--strobe.sv @@ -12,6 +12,7 @@ :description: $strobe test :tags: 21.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.2--write.sv b/tests/chapter-21/21.2--write.sv index 3428acb6d6239..c8a602ffea46a 100644 --- a/tests/chapter-21/21.2--write.sv +++ b/tests/chapter-21/21.2--write.sv @@ -12,6 +12,7 @@ :description: $write test :tags: 21.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fdisplay.sv b/tests/chapter-21/21.3--fdisplay.sv index 6cf799a65dd77..e3dacab8f5e31 100644 --- a/tests/chapter-21/21.3--fdisplay.sv +++ b/tests/chapter-21/21.3--fdisplay.sv @@ -12,6 +12,7 @@ :description: $fdisplay test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fflush.sv b/tests/chapter-21/21.3--fflush.sv index a952b0b158659..7064e6daab50f 100644 --- a/tests/chapter-21/21.3--fflush.sv +++ b/tests/chapter-21/21.3--fflush.sv @@ -12,6 +12,7 @@ :description: $fflush test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--file.sv b/tests/chapter-21/21.3--file.sv index 9316d8bc95a7e..48c4eb750349c 100644 --- a/tests/chapter-21/21.3--file.sv +++ b/tests/chapter-21/21.3--file.sv @@ -12,6 +12,7 @@ :description: $fopen and $fclose test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fmonitor.sv b/tests/chapter-21/21.3--fmonitor.sv index 7c5c858cc32e1..ee6939835c9ae 100644 --- a/tests/chapter-21/21.3--fmonitor.sv +++ b/tests/chapter-21/21.3--fmonitor.sv @@ -12,6 +12,7 @@ :description: $fmonitor test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fpos.sv b/tests/chapter-21/21.3--fpos.sv index c441be98cf0af..5eeaeecd656e5 100644 --- a/tests/chapter-21/21.3--fpos.sv +++ b/tests/chapter-21/21.3--fpos.sv @@ -12,6 +12,7 @@ :description: $fseek, $ftell and $rewind test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fread.sv b/tests/chapter-21/21.3--fread.sv index f3a81a5690850..05758f3dfe0ee 100644 --- a/tests/chapter-21/21.3--fread.sv +++ b/tests/chapter-21/21.3--fread.sv @@ -12,6 +12,7 @@ :description: $fread test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fscanf.sv b/tests/chapter-21/21.3--fscanf.sv index 62818b294c8b6..3bc3c918a0858 100644 --- a/tests/chapter-21/21.3--fscanf.sv +++ b/tests/chapter-21/21.3--fscanf.sv @@ -12,6 +12,7 @@ :description: $fscanf test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fstrobe.sv b/tests/chapter-21/21.3--fstrobe.sv index aa8bf01ef4e92..0c0d0a5e11a6b 100644 --- a/tests/chapter-21/21.3--fstrobe.sv +++ b/tests/chapter-21/21.3--fstrobe.sv @@ -12,6 +12,7 @@ :description: $fstrobe test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--fwrite.sv b/tests/chapter-21/21.3--fwrite.sv index c971a36967b7c..cf28cdbe631d6 100644 --- a/tests/chapter-21/21.3--fwrite.sv +++ b/tests/chapter-21/21.3--fwrite.sv @@ -12,6 +12,7 @@ :description: $fwrite test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.3--sscanf.sv b/tests/chapter-21/21.3--sscanf.sv index 5e508f81ce3af..0ceb441b039d6 100644 --- a/tests/chapter-21/21.3--sscanf.sv +++ b/tests/chapter-21/21.3--sscanf.sv @@ -12,6 +12,7 @@ :description: $sscanf test :tags: 21.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.7--dumpfile.sv b/tests/chapter-21/21.7--dumpfile.sv index e86d2e3c10f0f..3c3528f535732 100644 --- a/tests/chapter-21/21.7--dumpfile.sv +++ b/tests/chapter-21/21.7--dumpfile.sv @@ -12,6 +12,7 @@ :description: vcd dump tests :tags: 21.7 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-21/21.7--dumpports.sv b/tests/chapter-21/21.7--dumpports.sv index 7b75d96163e5f..5d32b3f3818dd 100644 --- a/tests/chapter-21/21.7--dumpports.sv +++ b/tests/chapter-21/21.7--dumpports.sv @@ -12,6 +12,7 @@ :description: vcd dump ports tests :tags: 21.7 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-22/22.7--timescale-module.sv b/tests/chapter-22/22.7--timescale-module.sv index d1a8b57e691c1..e4d16f94d0a6c 100644 --- a/tests/chapter-22/22.7--timescale-module.sv +++ b/tests/chapter-22/22.7--timescale-module.sv @@ -12,6 +12,7 @@ :description: Test :tags: 22.7 :type: preprocessing +:unsynthesizable: 1 */ `timescale 10 ns / 1 ns module test; diff --git a/tests/chapter-6/6.20.6--const.sv b/tests/chapter-6/6.20.6--const.sv index 173ba8160aae5..1c6ee66f6f6be 100644 --- a/tests/chapter-6/6.20.6--const.sv +++ b/tests/chapter-6/6.20.6--const.sv @@ -11,6 +11,7 @@ :name: const :description: const test :tags: 6.20.6 +:unsynthesizable: 1 */ module top(); class test_cls; diff --git a/tests/chapter-6/6.6.7--nettype_resolution_fn.sv b/tests/chapter-6/6.6.7--nettype_resolution_fn.sv index 2ffdf4e7d928f..c33a4663bd20b 100644 --- a/tests/chapter-6/6.6.7--nettype_resolution_fn.sv +++ b/tests/chapter-6/6.6.7--nettype_resolution_fn.sv @@ -11,6 +11,7 @@ :name: nettype_resolution_fn :description: user-defined nettype with resolution function tests :tags: 6.6.7 +:unsynthesizable: 1 */ module top(); function automatic real real_sum (input real driver[]); diff --git a/tests/chapter-7/arrays/associative/arguments.sv b/tests/chapter-7/arrays/associative/arguments.sv index d1497bc4d5cd2..83671aa1d232a 100644 --- a/tests/chapter-7/arrays/associative/arguments.sv +++ b/tests/chapter-7/arrays/associative/arguments.sv @@ -12,6 +12,7 @@ :description: Test passing associative array as arugments support :tags: 7.9.10 7.8 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/find-first-index.sv b/tests/chapter-7/arrays/associative/locator-methods/find-first-index.sv index cf7d80a49dbc9..37e2f97622cc8 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/find-first-index.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/find-first-index.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/find-first.sv b/tests/chapter-7/arrays/associative/locator-methods/find-first.sv index 72127cf017a9f..4685dc9b8d4e5 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/find-first.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/find-first.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/find-index.sv b/tests/chapter-7/arrays/associative/locator-methods/find-index.sv index 7db2e33277bc3..6bf54f6f14347 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/find-index.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/find-index.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/find-last-index.sv b/tests/chapter-7/arrays/associative/locator-methods/find-last-index.sv index 6b430736cea92..04515d7687083 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/find-last-index.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/find-last-index.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/find-last.sv b/tests/chapter-7/arrays/associative/locator-methods/find-last.sv index 61f9be3685713..c082a6143fbea 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/find-last.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/find-last.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/find.sv b/tests/chapter-7/arrays/associative/locator-methods/find.sv index f0104ef9df38d..7e6f75f342daf 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/find.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/find.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/max.sv b/tests/chapter-7/arrays/associative/locator-methods/max.sv index 8c047c9d35818..51c5b5c6486d0 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/max.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/max.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/min.sv b/tests/chapter-7/arrays/associative/locator-methods/min.sv index 3c6334d2fb9be..9ddd3c79ed536 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/min.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/min.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/unique-index.sv b/tests/chapter-7/arrays/associative/locator-methods/unique-index.sv index 952e83edcd637..1f5ec44b0cdd6 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/unique-index.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/unique-index.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 7.12.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/locator-methods/unique.sv b/tests/chapter-7/arrays/associative/locator-methods/unique.sv index 1c668f878f136..2d7bd4f331cd0 100644 --- a/tests/chapter-7/arrays/associative/locator-methods/unique.sv +++ b/tests/chapter-7/arrays/associative/locator-methods/unique.sv @@ -12,6 +12,7 @@ :description: Test support of array locator methods :tags: 7.12.1 7.12 7.10 7.12.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/unpacked/index.sv b/tests/chapter-7/arrays/unpacked/index.sv index 8f39f6414658e..ea1a7c4b50c1d 100644 --- a/tests/chapter-7/arrays/unpacked/index.sv +++ b/tests/chapter-7/arrays/unpacked/index.sv @@ -12,6 +12,7 @@ :description: Test support of unpacked arrays index querying method :tags: 7.12.4 7.4.2 7.10 7.12.1 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/unpacked/subroutines.sv b/tests/chapter-7/arrays/unpacked/subroutines.sv index cf44aa7caed86..6c5122011c40b 100644 --- a/tests/chapter-7/arrays/unpacked/subroutines.sv +++ b/tests/chapter-7/arrays/unpacked/subroutines.sv @@ -12,6 +12,7 @@ :description: Test support of arrays as arugments to subroutines :tags: 7.7 7.4.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/basic.sv b/tests/chapter-7/queues/basic.sv index 6c80a031f82af..1cd6f5b50dd81 100644 --- a/tests/chapter-7/queues/basic.sv +++ b/tests/chapter-7/queues/basic.sv @@ -11,6 +11,7 @@ :name: queues-basic :description: Test queues support :tags: 7.10 +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/delete.sv b/tests/chapter-7/queues/delete.sv index 81f6e4bbb5831..e2b22d4e603ce 100644 --- a/tests/chapter-7/queues/delete.sv +++ b/tests/chapter-7/queues/delete.sv @@ -12,6 +12,7 @@ :description: Test queues delete function support :tags: 7.10.2.3 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/delete_assign.sv b/tests/chapter-7/queues/delete_assign.sv index abace9f81315f..5cbbacfc47471 100644 --- a/tests/chapter-7/queues/delete_assign.sv +++ b/tests/chapter-7/queues/delete_assign.sv @@ -12,6 +12,7 @@ :description: Update queue by assignment (delete) :tags: 7.10.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/insert.sv b/tests/chapter-7/queues/insert.sv index faa219d26de18..02bb463f3cda4 100644 --- a/tests/chapter-7/queues/insert.sv +++ b/tests/chapter-7/queues/insert.sv @@ -12,6 +12,7 @@ :description: Test queues insert function support :tags: 7.10.2.2 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/insert_assign.sv b/tests/chapter-7/queues/insert_assign.sv index 193be9fcc4f8a..44e913ac0088d 100644 --- a/tests/chapter-7/queues/insert_assign.sv +++ b/tests/chapter-7/queues/insert_assign.sv @@ -12,6 +12,7 @@ :description: Update queue by assignment (insert) :tags: 7.10.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/persistence.sv b/tests/chapter-7/queues/persistence.sv index 9c015facfb87c..d959a1e4279a5 100644 --- a/tests/chapter-7/queues/persistence.sv +++ b/tests/chapter-7/queues/persistence.sv @@ -12,6 +12,7 @@ :description: Test status of persistence of references to elements of queue :tags: 7.10.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/pop_back.sv b/tests/chapter-7/queues/pop_back.sv index 1f7ad8d95a0bb..9dcb8b630d9d6 100644 --- a/tests/chapter-7/queues/pop_back.sv +++ b/tests/chapter-7/queues/pop_back.sv @@ -12,6 +12,7 @@ :description: Test queues pop_back function support :tags: 7.10.2.5 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/pop_back_assing.sv b/tests/chapter-7/queues/pop_back_assing.sv index 349f354d22518..fdc78c26af216 100644 --- a/tests/chapter-7/queues/pop_back_assing.sv +++ b/tests/chapter-7/queues/pop_back_assing.sv @@ -12,6 +12,7 @@ :description: Update queue by assignment (pop_back) :tags: 7.10.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/pop_front.sv b/tests/chapter-7/queues/pop_front.sv index a1ebd94226b55..402f546ce5aaa 100644 --- a/tests/chapter-7/queues/pop_front.sv +++ b/tests/chapter-7/queues/pop_front.sv @@ -12,6 +12,7 @@ :description: Test queues pop_front function support :tags: 7.10.2.4 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/pop_front_assign.sv b/tests/chapter-7/queues/pop_front_assign.sv index f0357504bccda..5ee682c2c67a4 100644 --- a/tests/chapter-7/queues/pop_front_assign.sv +++ b/tests/chapter-7/queues/pop_front_assign.sv @@ -12,6 +12,7 @@ :description: Update queue by assignment (pop_front) :tags: 7.10.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/push_back.sv b/tests/chapter-7/queues/push_back.sv index 298d92c266ad7..1ae69cbd7ee48 100644 --- a/tests/chapter-7/queues/push_back.sv +++ b/tests/chapter-7/queues/push_back.sv @@ -12,6 +12,7 @@ :description: Test queues push_back function support :tags: 7.10.2.7 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/push_back_assign.sv b/tests/chapter-7/queues/push_back_assign.sv index 7ca6b1697456b..8c9528f2b438c 100644 --- a/tests/chapter-7/queues/push_back_assign.sv +++ b/tests/chapter-7/queues/push_back_assign.sv @@ -12,6 +12,7 @@ :description: Update queue by assignment (push_back) :tags: 7.10.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/push_front.sv b/tests/chapter-7/queues/push_front.sv index c4095307a2a91..690da5fc78ac6 100644 --- a/tests/chapter-7/queues/push_front.sv +++ b/tests/chapter-7/queues/push_front.sv @@ -12,6 +12,7 @@ :description: Test queues push_front function support :tags: 7.10.2.6 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/push_front_assign.sv b/tests/chapter-7/queues/push_front_assign.sv index 2e1108a7b3ec4..abc4bf67ebeca 100644 --- a/tests/chapter-7/queues/push_front_assign.sv +++ b/tests/chapter-7/queues/push_front_assign.sv @@ -12,6 +12,7 @@ :description: Update queue by assignment (push_front) :tags: 7.10.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/size.sv b/tests/chapter-7/queues/size.sv index 686f9f8dc4598..e4415cd2f13e8 100644 --- a/tests/chapter-7/queues/size.sv +++ b/tests/chapter-7/queues/size.sv @@ -12,6 +12,7 @@ :description: Test queues size support :tags: 7.10.2.1 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/queues/slice.sv b/tests/chapter-7/queues/slice.sv index a9889c9333f2a..9b5dc09943fb0 100644 --- a/tests/chapter-7/queues/slice.sv +++ b/tests/chapter-7/queues/slice.sv @@ -12,6 +12,7 @@ :description: Test queues slice support :tags: 7.10.1 7.10.2 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-8/8.11--this.sv b/tests/chapter-8/8.11--this.sv index 4ff2f98dcab88..cb8cb93519a1e 100644 --- a/tests/chapter-8/8.11--this.sv +++ b/tests/chapter-8/8.11--this.sv @@ -11,6 +11,7 @@ :name: this :description: this keyword test :tags: 8.11 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.12--assignment.sv b/tests/chapter-8/8.12--assignment.sv index 223c92c3e762a..8d02456039a90 100644 --- a/tests/chapter-8/8.12--assignment.sv +++ b/tests/chapter-8/8.12--assignment.sv @@ -11,6 +11,7 @@ :name: assignment :description: object assignment :tags: 8.12 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.12--shallow_copy.sv b/tests/chapter-8/8.12--shallow_copy.sv index b3b717f1dca1d..db3317a9448de 100644 --- a/tests/chapter-8/8.12--shallow_copy.sv +++ b/tests/chapter-8/8.12--shallow_copy.sv @@ -11,6 +11,7 @@ :name: shallow_copy :description: object shallow copy :tags: 8.12 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.15--super-default-new.sv b/tests/chapter-8/8.15--super-default-new.sv index 25eb14afb9a30..44aefd42d8bdc 100644 --- a/tests/chapter-8/8.15--super-default-new.sv +++ b/tests/chapter-8/8.15--super-default-new.sv @@ -11,6 +11,7 @@ :name: super-def-new :description: Base class has no user-defined constructor, derived class accesses superclass new() :tags: 8.15 +:unsynthesizable: 1 */ package test_pkg; diff --git a/tests/chapter-8/8.6--methods.sv b/tests/chapter-8/8.6--methods.sv index 448ed3ddfc88d..cbc55d74922aa 100644 --- a/tests/chapter-8/8.6--methods.sv +++ b/tests/chapter-8/8.6--methods.sv @@ -11,6 +11,7 @@ :name: methods :description: class method test :tags: 8.6 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-9/9.3.2--parallel_block_join.sv b/tests/chapter-9/9.3.2--parallel_block_join.sv index bdcaadb5eee32..75921f234db96 100644 --- a/tests/chapter-9/9.3.2--parallel_block_join.sv +++ b/tests/chapter-9/9.3.2--parallel_block_join.sv @@ -11,6 +11,7 @@ :name: parallel_block_join :description: parallel block check :tags: 9.3.2 +:unsynthesizable: 1 */ module parallel_tb (); reg a = 0; diff --git a/tests/chapter-9/9.3.2--parallel_block_join_any.sv b/tests/chapter-9/9.3.2--parallel_block_join_any.sv index 795f4ecd8ca45..43030c3d4b67e 100644 --- a/tests/chapter-9/9.3.2--parallel_block_join_any.sv +++ b/tests/chapter-9/9.3.2--parallel_block_join_any.sv @@ -11,6 +11,7 @@ :name: parallel_block_join_any :description: parallel block check :tags: 9.3.2 +:unsynthesizable: 1 */ module parallel_tb (); reg a = 0; diff --git a/tests/chapter-9/9.3.2--parallel_block_join_none.sv b/tests/chapter-9/9.3.2--parallel_block_join_none.sv index 5f74267c02c3a..1af19b2910002 100644 --- a/tests/chapter-9/9.3.2--parallel_block_join_none.sv +++ b/tests/chapter-9/9.3.2--parallel_block_join_none.sv @@ -11,6 +11,7 @@ :name: parallel_block_join_none :description: parallel block check :tags: 9.3.2 +:unsynthesizable: 1 */ module parallel_tb (); reg a = 0; diff --git a/tests/chapter-9/9.3.3--block_start_finish.sv b/tests/chapter-9/9.3.3--block_start_finish.sv index 5965459d325b6..5f89589512c83 100644 --- a/tests/chapter-9/9.3.3--block_start_finish.sv +++ b/tests/chapter-9/9.3.3--block_start_finish.sv @@ -11,6 +11,7 @@ :name: block_start_finish :description: block start finish check :tags: 9.3.3 +:unsynthesizable: 1 */ module block_tb (); reg [3:0] a = 0; diff --git a/tests/chapter-9/9.3.3--event.sv b/tests/chapter-9/9.3.3--event.sv index 4633dcd68ad72..234c8b630aabd 100644 --- a/tests/chapter-9/9.3.3--event.sv +++ b/tests/chapter-9/9.3.3--event.sv @@ -11,6 +11,7 @@ :name: event_order :description: event order test :tags: 9.3.3 +:unsynthesizable: 1 */ module block_tb (); event ev; diff --git a/tests/chapter-9/9.3.3--fork_return.sv b/tests/chapter-9/9.3.3--fork_return.sv index ddd8443377989..2522120df8c18 100644 --- a/tests/chapter-9/9.3.3--fork_return.sv +++ b/tests/chapter-9/9.3.3--fork_return.sv @@ -13,6 +13,7 @@ :should_fail_because: illegal return from fork :tags: 9.3.3 :type: simulation elaboration +:unsynthesizable: 1 */ module block_tb (); task fork_test; diff --git a/tests/chapter-9/9.3.4--block_names_par.sv b/tests/chapter-9/9.3.4--block_names_par.sv index a174dfd6658e0..8cc5f00aa9de7 100644 --- a/tests/chapter-9/9.3.4--block_names_par.sv +++ b/tests/chapter-9/9.3.4--block_names_par.sv @@ -11,6 +11,7 @@ :name: block_names_par :description: parallel block names check :tags: 9.3.4 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.3.5--statement_labels_par.sv b/tests/chapter-9/9.3.5--statement_labels_par.sv index 05da1531619a2..99f80035dc525 100644 --- a/tests/chapter-9/9.3.5--statement_labels_par.sv +++ b/tests/chapter-9/9.3.5--statement_labels_par.sv @@ -11,6 +11,7 @@ :name: statement_labels_par :description: parallel block labels check :tags: 9.3.5 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.4.1--delay_control-sim.sv b/tests/chapter-9/9.4.1--delay_control-sim.sv index 56851bb6df017..c89bdab6953b8 100644 --- a/tests/chapter-9/9.4.1--delay_control-sim.sv +++ b/tests/chapter-9/9.4.1--delay_control-sim.sv @@ -12,6 +12,7 @@ :description: delay control simulation :tags: 9.4.1 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-9/9.4.1--delay_control-two-blocks-sim.sv b/tests/chapter-9/9.4.1--delay_control-two-blocks-sim.sv index c4f366457f57e..cc9b96d183d68 100644 --- a/tests/chapter-9/9.4.1--delay_control-two-blocks-sim.sv +++ b/tests/chapter-9/9.4.1--delay_control-two-blocks-sim.sv @@ -12,6 +12,7 @@ :description: delay control simulation with two blocks :tags: 9.4.1 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-9/9.4.1--delay_control.sv b/tests/chapter-9/9.4.1--delay_control.sv index fcdb1d9b3a483..bcd1a0cab64e2 100644 --- a/tests/chapter-9/9.4.1--delay_control.sv +++ b/tests/chapter-9/9.4.1--delay_control.sv @@ -11,6 +11,7 @@ :name: delay_control :description: delay control :tags: 9.4.1 +:unsynthesizable: 1 */ module block_tb (); reg [3:0] a = 0; diff --git a/tests/chapter-9/9.4.2--event_control_sim.sv b/tests/chapter-9/9.4.2--event_control_sim.sv index d8afb44ca69a4..fa7878d2d6bfd 100644 --- a/tests/chapter-9/9.4.2--event_control_sim.sv +++ b/tests/chapter-9/9.4.2--event_control_sim.sv @@ -12,6 +12,7 @@ :description: Test event invocation :tags: 9.4.2 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); event e; diff --git a/tests/chapter-9/9.4.2--event_control_sim_minimal.sv b/tests/chapter-9/9.4.2--event_control_sim_minimal.sv index 8fc1cd6ab372d..47b81647327d3 100644 --- a/tests/chapter-9/9.4.2--event_control_sim_minimal.sv +++ b/tests/chapter-9/9.4.2--event_control_sim_minimal.sv @@ -12,6 +12,7 @@ :description: Test event invocation :tags: 9.4.2 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); event e; diff --git a/tests/chapter-9/9.4.2.4--event_sequence.sv b/tests/chapter-9/9.4.2.4--event_sequence.sv index 534b023f9e457..9720bd37a4237 100644 --- a/tests/chapter-9/9.4.2.4--event_sequence.sv +++ b/tests/chapter-9/9.4.2.4--event_sequence.sv @@ -12,6 +12,7 @@ :description: sequence event test :tags: 9.4.2.4 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module seq_tb (); logic a = 0; diff --git a/tests/chapter-9/9.6.1--wait_fork.sv b/tests/chapter-9/9.6.1--wait_fork.sv index 317dfff82e9c9..2a042b1e6b0e8 100644 --- a/tests/chapter-9/9.6.1--wait_fork.sv +++ b/tests/chapter-9/9.6.1--wait_fork.sv @@ -11,6 +11,7 @@ :name: wait_fork :description: wait fork test :tags: 9.6.1 +:unsynthesizable: 1 */ module fork_tb (); reg a = 0; diff --git a/tests/chapter-9/9.6.2--disable_other.sv b/tests/chapter-9/9.6.2--disable_other.sv index fad559ff8e787..532ed7070aa88 100644 --- a/tests/chapter-9/9.6.2--disable_other.sv +++ b/tests/chapter-9/9.6.2--disable_other.sv @@ -11,6 +11,7 @@ :name: disable_other :description: disable other task :tags: 9.6.2 +:unsynthesizable: 1 */ module fork_tb (); reg a = 0; diff --git a/tests/chapter-9/9.6.3--disable_fork.sv b/tests/chapter-9/9.6.3--disable_fork.sv index cd740fcb12a2b..967843b88a267 100644 --- a/tests/chapter-9/9.6.3--disable_fork.sv +++ b/tests/chapter-9/9.6.3--disable_fork.sv @@ -11,6 +11,7 @@ :name: disable_fork :description: disable fork :tags: 9.6.3 +:unsynthesizable: 1 */ module fork_tb (); reg a = 0; diff --git a/tests/chapter-9/9.7--process_cls_await.sv b/tests/chapter-9/9.7--process_cls_await.sv index fa95c3421fd64..c326dba21985c 100644 --- a/tests/chapter-9/9.7--process_cls_await.sv +++ b/tests/chapter-9/9.7--process_cls_await.sv @@ -11,6 +11,7 @@ :name: process_cls_await :description: process class await method :tags: 9.7 +:unsynthesizable: 1 */ module process_tb (); task automatic test (int N); diff --git a/tests/chapter-9/9.7--process_cls_kill.sv b/tests/chapter-9/9.7--process_cls_kill.sv index f2ce4dc25f498..d2700c5fa6a57 100644 --- a/tests/chapter-9/9.7--process_cls_kill.sv +++ b/tests/chapter-9/9.7--process_cls_kill.sv @@ -11,6 +11,7 @@ :name: process_cls_kill :description: process class kill method :tags: 9.7 +:unsynthesizable: 1 */ module process_tb (); task automatic test (int N); diff --git a/tests/chapter-9/9.7--process_cls_self.sv b/tests/chapter-9/9.7--process_cls_self.sv index ad64d65942802..a8be275fa9875 100644 --- a/tests/chapter-9/9.7--process_cls_self.sv +++ b/tests/chapter-9/9.7--process_cls_self.sv @@ -11,6 +11,7 @@ :name: process_cls_self :description: process class self method :tags: 9.7 +:unsynthesizable: 1 */ module process_tb (); task automatic test (int N); diff --git a/tests/chapter-9/9.7--process_cls_suspend_resume.sv b/tests/chapter-9/9.7--process_cls_suspend_resume.sv index 0e15074e877e3..a90a4c897c2a7 100644 --- a/tests/chapter-9/9.7--process_cls_suspend_resume.sv +++ b/tests/chapter-9/9.7--process_cls_suspend_resume.sv @@ -11,6 +11,7 @@ :name: process_control :description: process control :tags: 9.7 +:unsynthesizable: 1 */ module process_tb (); task automatic test (int N); diff --git a/tests/generic/class/class_test_30.sv b/tests/generic/class/class_test_30.sv index e1eed01ca3e77..5b0a0f73a1d48 100644 --- a/tests/generic/class/class_test_30.sv +++ b/tests/generic/class/class_test_30.sv @@ -11,6 +11,7 @@ :name: class_test_30 :description: Test :tags: 6.15 8.3 +:unsynthesizable: 1 */ class Foo; integer size; diff --git a/tests/generic/class/class_test_52.sv b/tests/generic/class/class_test_52.sv index f19d0ff1fbd7b..d536d54aa3f2d 100644 --- a/tests/generic/class/class_test_52.sv +++ b/tests/generic/class/class_test_52.sv @@ -11,6 +11,7 @@ :name: class_test_52 :description: Test :tags: 6.15 8.3 +:unsynthesizable: 1 */ class uvm_sequence_item; endclass diff --git a/tests/generic/member/class_member_test_10.sv b/tests/generic/member/class_member_test_10.sv index 4f20fe2788ebe..f3181687998b0 100644 --- a/tests/generic/member/class_member_test_10.sv +++ b/tests/generic/member/class_member_test_10.sv @@ -11,6 +11,7 @@ :name: class_member_test_10 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class outerclass; class innerclass; diff --git a/tests/generic/member/class_member_test_27.sv b/tests/generic/member/class_member_test_27.sv index ac87caed8f2f0..b3cc47a6f382c 100644 --- a/tests/generic/member/class_member_test_27.sv +++ b/tests/generic/member/class_member_test_27.sv @@ -11,6 +11,7 @@ :name: class_member_test_27 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class report_server; endclass typedef int uvm_phase; diff --git a/tests/generic/member/class_member_test_3.sv b/tests/generic/member/class_member_test_3.sv index c067414e806b2..6ccb0a0841ea6 100644 --- a/tests/generic/member/class_member_test_3.sv +++ b/tests/generic/member/class_member_test_3.sv @@ -11,6 +11,7 @@ :name: class_member_test_3 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class myclass; extern task subtask(int arg); diff --git a/tests/generic/member/class_member_test_4.sv b/tests/generic/member/class_member_test_4.sv index f1388271ea583..b382c6987a403 100644 --- a/tests/generic/member/class_member_test_4.sv +++ b/tests/generic/member/class_member_test_4.sv @@ -11,6 +11,7 @@ :name: class_member_test_4 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class myclass; extern virtual task subtask(int arg); diff --git a/tests/generic/member/class_member_test_5.sv b/tests/generic/member/class_member_test_5.sv index 8d5ee7ff96aaf..03d140f4b0e48 100644 --- a/tests/generic/member/class_member_test_5.sv +++ b/tests/generic/member/class_member_test_5.sv @@ -13,6 +13,7 @@ :should_fail_because: pure virtual methods can only be declared in virtual classes :tags: 8.3 :type: elaboration +:unsynthesizable: 1 */ class myclass; pure virtual task pure_task1; diff --git a/tests/generic/member/class_member_test_6.sv b/tests/generic/member/class_member_test_6.sv index ed0bdb0bf059a..ab6d780f62fb8 100644 --- a/tests/generic/member/class_member_test_6.sv +++ b/tests/generic/member/class_member_test_6.sv @@ -11,6 +11,7 @@ :name: class_member_test_6 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class myclass; extern protected task subtask(int arg); diff --git a/tests/generic/member/class_member_test_7.sv b/tests/generic/member/class_member_test_7.sv index 16a05ab798a53..942c0d52e7439 100644 --- a/tests/generic/member/class_member_test_7.sv +++ b/tests/generic/member/class_member_test_7.sv @@ -11,6 +11,7 @@ :name: class_member_test_7 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class myclass; extern virtual protected task subtask(int arg); diff --git a/tests/generic/member/class_member_test_8.sv b/tests/generic/member/class_member_test_8.sv index e6a5038ffccde..d8db9110763c9 100644 --- a/tests/generic/member/class_member_test_8.sv +++ b/tests/generic/member/class_member_test_8.sv @@ -11,6 +11,7 @@ :name: class_member_test_8 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class myclass; extern protected virtual task subtask(int arg); diff --git a/tests/generic/member/class_member_test_9.sv b/tests/generic/member/class_member_test_9.sv index 1a1ecc76a2351..25fc0a0c206e6 100644 --- a/tests/generic/member/class_member_test_9.sv +++ b/tests/generic/member/class_member_test_9.sv @@ -11,6 +11,7 @@ :name: class_member_test_9 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class myclass; typedef int arg_type; diff --git a/tests/testbenches/uvm_agent_active.sv b/tests/testbenches/uvm_agent_active.sv index db712e6419386..b8f0522f84191 100644 --- a/tests/testbenches/uvm_agent_active.sv +++ b/tests/testbenches/uvm_agent_active.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-agents :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_agent_env.sv b/tests/testbenches/uvm_agent_env.sv index 047b61420719d..b1bee7db2ab22 100644 --- a/tests/testbenches/uvm_agent_env.sv +++ b/tests/testbenches/uvm_agent_env.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-agents :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_agent_passive.sv b/tests/testbenches/uvm_agent_passive.sv index 66c6e84522efc..8e64bdb1c4ad2 100644 --- a/tests/testbenches/uvm_agent_passive.sv +++ b/tests/testbenches/uvm_agent_passive.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-agents :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_driver_sequencer_env.sv b/tests/testbenches/uvm_driver_sequencer_env.sv index f2b87d219497f..2e9c9cb3e1cd2 100644 --- a/tests/testbenches/uvm_driver_sequencer_env.sv +++ b/tests/testbenches/uvm_driver_sequencer_env.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-classes :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_monitor_env.sv b/tests/testbenches/uvm_monitor_env.sv index 957e2ea81e8e2..07d058fbd9f60 100644 --- a/tests/testbenches/uvm_monitor_env.sv +++ b/tests/testbenches/uvm_monitor_env.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-classes :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_resource_db_read_by_name.sv b/tests/testbenches/uvm_resource_db_read_by_name.sv index d38a0d8a628a9..2ed0b50fd8c59 100644 --- a/tests/testbenches/uvm_resource_db_read_by_name.sv +++ b/tests/testbenches/uvm_resource_db_read_by_name.sv @@ -12,6 +12,7 @@ :description: uvm resource_db::read_by_name test :tags: uvm :type: simulation elaboration parsing +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_scoreboard_env.sv b/tests/testbenches/uvm_scoreboard_env.sv index 8d78711cbeffa..4d659d2196f50 100644 --- a/tests/testbenches/uvm_scoreboard_env.sv +++ b/tests/testbenches/uvm_scoreboard_env.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-scoreboards :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_scoreboard_monitor_agent_env.sv b/tests/testbenches/uvm_scoreboard_monitor_agent_env.sv index 2fdab005ceb60..f9c85bf6ca95f 100644 --- a/tests/testbenches/uvm_scoreboard_monitor_agent_env.sv +++ b/tests/testbenches/uvm_scoreboard_monitor_agent_env.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-scoreboards :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_scoreboard_monitor_env.sv b/tests/testbenches/uvm_scoreboard_monitor_env.sv index 59f150e3296de..e7fa1d0901eab 100644 --- a/tests/testbenches/uvm_scoreboard_monitor_env.sv +++ b/tests/testbenches/uvm_scoreboard_monitor_env.sv @@ -13,6 +13,7 @@ :tags: uvm uvm-scoreboards :type: simulation elaboration parsing :timeout: 30 +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_sequence.sv b/tests/testbenches/uvm_sequence.sv index 9750998e2f49c..c5eb3c6931d2a 100644 --- a/tests/testbenches/uvm_sequence.sv +++ b/tests/testbenches/uvm_sequence.sv @@ -12,6 +12,7 @@ :description: uvm_sequence test :tags: uvm uvm-classes :type: simulation elaboration parsing +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/testbenches/uvm_test_run_test.sv b/tests/testbenches/uvm_test_run_test.sv index 1f8b9e4947262..372f099e8f957 100644 --- a/tests/testbenches/uvm_test_run_test.sv +++ b/tests/testbenches/uvm_test_run_test.sv @@ -12,6 +12,7 @@ :description: test if uvm_test instance can be called by name :tags: uvm uvm-classes :type: simulation elaboration parsing +:unsynthesizable: 1 */ import uvm_pkg::*; diff --git a/tests/uvm/uvm_files.sv b/tests/uvm/uvm_files.sv index aea0a6045abed..22e2c67c24069 100644 --- a/tests/uvm/uvm_files.sv +++ b/tests/uvm/uvm_files.sv @@ -12,5 +12,6 @@ :description: basic UVM test :tags: uvm :timeout: 100 +:unsynthesizable: 1 */ diff --git a/tools/BaseRunner.py b/tools/BaseRunner.py index b1fa7985e5f15..139a9de7129df 100644 --- a/tools/BaseRunner.py +++ b/tools/BaseRunner.py @@ -59,9 +59,12 @@ def __init__( self.url = "https://github.com/symbiflow/sv-tests" self.submodule = "" - def get_mode(self, test_features, compatible_runners): + def get_mode(self, params): """Determine correct run mode or return None when incompatible """ + test_features = params['type'].split() + compatible_runners = params['compatible-runners'].split() + if "all" not in compatible_runners: if self.name not in compatible_runners: return None diff --git a/tools/runner b/tools/runner index cff144a453c09..8ec66ffd2d464 100755 --- a/tools/runner +++ b/tools/runner @@ -113,7 +113,7 @@ test = os.path.abspath(os.path.join(dirs['tests'], args.test)) supported_test_params = [ "name", "tags", "description", "files", "incdirs", "top_module", "timeout", "type", "should_fail", "should_fail_because", "defines", - "compatible-runners", "results_group" + "compatible-runners", "unsynthesizable", "results_group" ] test_params = {} @@ -158,6 +158,7 @@ try: test_params.setdefault('should_fail_because', "") test_params.setdefault('defines', "") test_params.setdefault('compatible-runners', "all") + test_params.setdefault('unsynthesizable', '0') test_params.setdefault('results_group', "") if len(set(supported_test_params) - set(test_params.keys())) != 0: @@ -188,8 +189,7 @@ test_params['incdirs'] = list( lambda x: os.path.abspath(os.path.join(dirs['tests'], x)), test_params['incdirs'].split())) -test_params['mode'] = runner_obj.get_mode( - test_params['type'].split(), test_params['compatible-runners'].split()) +test_params['mode'] = runner_obj.get_mode(test_params) if test_params['mode'] is None: logger.info("Skipping {}/{}".format(args.runner, args.test)) with open(out, "w") as f: diff --git a/tools/runners/SynligYosys.py b/tools/runners/SynligYosys.py index b2db91bae3037..77a88cc521cf9 100644 --- a/tools/runners/SynligYosys.py +++ b/tools/runners/SynligYosys.py @@ -24,6 +24,12 @@ def __init__(self): self.submodule = "third_party/tools/synlig" self.url = f"https://github.com/chipsalliance/synlig/tree/{self.get_commit()}" + def get_mode(self, params): + unsynthesizable = int(params['unsynthesizable']) + if unsynthesizable: + return None + return super().get_mode(params) + def prepare_run_cb(self, tmp_dir, params): runner_scr = os.path.join(tmp_dir, "scr.sh") yosys_scr = os.path.join(tmp_dir, "yosys-script") diff --git a/tools/runners/Yosys.py b/tools/runners/Yosys.py index ff8306c10b4a2..703a2b2c32901 100644 --- a/tools/runners/Yosys.py +++ b/tools/runners/Yosys.py @@ -22,6 +22,12 @@ def __init__(self): self.submodule = "third_party/tools/yosys" self.url = f"https://github.com/YosysHQ/yosys/tree/{self.get_commit()}" + def get_mode(self, params): + unsynthesizable = int(params['unsynthesizable']) + if unsynthesizable: + return None + return super().get_mode(params) + def prepare_run_cb(self, tmp_dir, params): run = os.path.join(tmp_dir, "run.sh") scr = os.path.join(tmp_dir, 'scr.ys') diff --git a/tools/sv-report b/tools/sv-report index 94adadb320864..9356965fdcc01 100755 --- a/tools/sv-report +++ b/tools/sv-report @@ -284,6 +284,7 @@ class TestResult: tags: Set[str] = dataclasses.field(default_factory=set) types: Set[str] = dataclasses.field(default_factory=set) results_group: str = "" + unsynthesizable: str = "" input_files: List[str] = dataclasses.field(default_factory=list) # Unit: bytes total_input_files_size: int = 0 @@ -549,7 +550,7 @@ def collect_logs(runner_name: str): "runner_url", "time_elapsed", "type", "mode", "timeout", "user_time", "system_time", "ram_usage", "tool_success", "should_fail_because", "defines", "compatible-runners", - "results_group" + "unsynthesizable", "results_group" } test_log_data: Dict[str, Any] = {} log_content = "" @@ -596,6 +597,7 @@ def collect_logs(runner_name: str): # Test Result test_result.name = test_log_data["name"] + test_result.unsynthesizable = test_log_data["unsynthesizable"] test_result.results_group = test_log_data["results_group"] # Convert splitted "tags" to set() and append all meta-tags From 01d805b50d6bfaf705ffe1c2fcddb365d352ab3e Mon Sep 17 00:00:00 2001 From: Ethan Sifferman Date: Thu, 12 Oct 2023 10:09:11 -0700 Subject: [PATCH 2/3] removed more tests --- tests/chapter-13/13.4.2--function-static.sv | 1 + tests/chapter-14/14.3--clocking-block-signals-error.sv | 1 + tests/chapter-14/14.3--clocking-block-signals.sv | 1 + tests/chapter-14/14.3--clocking-block.sv | 1 + tests/chapter-14/14.3--default-clocking-block.sv | 1 + tests/chapter-14/14.3--global-clocking-block.sv | 1 + tests/chapter-18/18.15--manually-seeding-randomize_0.sv | 1 + tests/chapter-18/18.5.11--static-constraint-blocks_0.sv | 3 +-- tests/chapter-18/18.5.2--pure-constraint_0.sv | 1 + .../chapter-18/18.6.3--behavior-of-randomization-methods_0.sv | 1 + tests/chapter-20/20.14--coverage.sv | 1 + tests/chapter-20/20.5--shortreal-bits-conv.sv | 1 + tests/chapter-24/24.3--program.sv | 1 + tests/chapter-5/5.7.2-real-token.sv | 1 + tests/chapter-5/5.8-time-literals.sv | 1 + tests/chapter-6/6.12--real.sv | 1 + tests/chapter-6/6.12--real_bit_select.sv | 1 + tests/chapter-6/6.12--real_bit_select_idx.sv | 1 + tests/chapter-6/6.12--real_edge.sv | 1 + tests/chapter-6/6.12--realtime.sv | 1 + tests/chapter-6/6.12--shortreal.sv | 1 + tests/chapter-6/6.14--chandle.sv | 1 + tests/chapter-6/6.16.10--string_atoreal.sv | 1 + tests/chapter-6/6.17--event.sv | 1 + tests/chapter-6/6.20.3--parameter_type.sv | 1 + tests/chapter-6/6.23--type_op.sv | 1 + tests/chapter-7/arrays/associative/other.sv | 1 + tests/chapter-7/arrays/associative/wildcard.sv | 1 + tests/chapter-8/8.10--static_methods.sv | 1 + tests/chapter-8/8.13--inheritance.sv | 1 + tests/chapter-8/8.14--override_member.sv | 1 + tests/chapter-8/8.15--super.sv | 1 + tests/chapter-8/8.16--cast_func.sv | 1 + tests/chapter-8/8.17--constructor_const_arg.sv | 1 + tests/chapter-8/8.19--instance_constant.sv | 1 + tests/chapter-8/8.20--virtual_method.sv | 1 + tests/chapter-8/8.21--abstract_class.sv | 1 + tests/chapter-8/8.21--abstract_class_inst.sv | 1 + tests/chapter-8/8.22--dynamic_method_lookup.sv | 1 + tests/chapter-8/8.23--scope_resolution.sv | 1 + tests/chapter-8/8.24--out_of_block_methods.sv | 1 + tests/chapter-8/8.25--parametrized_class_extend.sv | 1 + .../8.25.1--parametrized_class_invalid_scope_resolution.sv | 1 + tests/chapter-8/8.25.1--parametrized_class_scope_resolution.sv | 1 + tests/chapter-8/8.26.2--implements.sv | 1 + tests/chapter-8/8.26.2--implements_extends.sv | 1 + tests/chapter-8/8.26.2--implements_multiple.sv | 1 + tests/chapter-8/8.26.3--type_access_implements.sv | 1 + tests/chapter-8/8.26.3--type_access_implements_invalid.sv | 1 + tests/chapter-8/8.26.4--illegal_forward_def_implements.sv | 1 + tests/chapter-8/8.26.4--illegal_implements_parameter.sv | 1 + tests/chapter-8/8.26.5--cast_between_interface_classes.sv | 3 ++- tests/chapter-8/8.26.5--implemented_class_handle.sv | 1 + tests/chapter-8/8.26.5--invalid_interface_instantiation.sv | 1 + tests/chapter-8/8.26.6.1--name_conflict_resolved.sv | 1 + tests/chapter-8/8.26.6.1--name_conflict_unresolved.sv | 1 + tests/chapter-8/8.26.7--partial_implementation.sv | 1 + tests/chapter-8/8.4--instantiation.sv | 1 + tests/chapter-8/8.5--parameters.sv | 1 + tests/chapter-8/8.5--properties.sv | 1 + tests/chapter-8/8.5--properties_enum.sv | 1 + tests/chapter-8/8.7--constructor.sv | 1 + tests/chapter-8/8.7--constructor_param.sv | 1 + tests/chapter-8/8.7--constructor_super.sv | 1 + tests/chapter-8/8.8--typed_constructor.sv | 1 + tests/chapter-8/8.8--typed_constructor_param.sv | 1 + tests/chapter-8/8.9--static_properties.sv | 1 + tests/chapter-9/9.4.3--event_sequence_controls.sv | 1 + tests/chapter-9/9.4.5--event_nonblocking_assignment_event.sv | 1 + tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat.sv | 1 + .../9.4.5--event_nonblocking_assignment_repeat_int.sv | 1 + .../9.4.5--event_nonblocking_assignment_repeat_int_neg.sv | 1 + .../9.4.5--event_nonblocking_assignment_repeat_neg.sv | 1 + tests/generic/class/class_test_54.sv | 1 + tests/generic/class/class_test_55.sv | 1 + tests/generic/member/class_member_test_12.sv | 1 + tests/generic/member/class_member_test_39.sv | 1 + tests/generic/member/class_member_test_40.sv | 1 + tests/generic/member/class_member_test_41.sv | 1 + 79 files changed, 80 insertions(+), 3 deletions(-) diff --git a/tests/chapter-13/13.4.2--function-static.sv b/tests/chapter-13/13.4.2--function-static.sv index e054c5b394273..f9ac24abe77f1 100644 --- a/tests/chapter-13/13.4.2--function-static.sv +++ b/tests/chapter-13/13.4.2--function-static.sv @@ -11,6 +11,7 @@ :name: function_static :description: static function test :tags: 13.4.2 +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-14/14.3--clocking-block-signals-error.sv b/tests/chapter-14/14.3--clocking-block-signals-error.sv index 1e9aae668f50d..78e1bae1c83a1 100644 --- a/tests/chapter-14/14.3--clocking-block-signals-error.sv +++ b/tests/chapter-14/14.3--clocking-block-signals-error.sv @@ -13,6 +13,7 @@ :should_fail_because: assigning to net from procedural context :type: simulation elaboration :tags: 14.3 +:unsynthesizable: 1 */ module top(input clk, input a, output b, output c); diff --git a/tests/chapter-14/14.3--clocking-block-signals.sv b/tests/chapter-14/14.3--clocking-block-signals.sv index 38e2ccd53ea11..e683315515741 100644 --- a/tests/chapter-14/14.3--clocking-block-signals.sv +++ b/tests/chapter-14/14.3--clocking-block-signals.sv @@ -11,6 +11,7 @@ :name: clocking_block_signals :description: clocking block with signals test :tags: 14.3 +:unsynthesizable: 1 */ module top(input clk, input a, output logic b, output logic c); diff --git a/tests/chapter-14/14.3--clocking-block.sv b/tests/chapter-14/14.3--clocking-block.sv index 790c36ae9348c..ff3ccedb5d8b0 100644 --- a/tests/chapter-14/14.3--clocking-block.sv +++ b/tests/chapter-14/14.3--clocking-block.sv @@ -11,6 +11,7 @@ :name: clocking_block :description: clocking block test :tags: 14.3 +:unsynthesizable: 1 */ module top(input clk); diff --git a/tests/chapter-14/14.3--default-clocking-block.sv b/tests/chapter-14/14.3--default-clocking-block.sv index 18b17f9871ffa..ad0fdaab23b53 100644 --- a/tests/chapter-14/14.3--default-clocking-block.sv +++ b/tests/chapter-14/14.3--default-clocking-block.sv @@ -11,6 +11,7 @@ :name: default_clocking_block :description: default clocking block test :tags: 14.3 +:unsynthesizable: 1 */ module top(input clk); diff --git a/tests/chapter-14/14.3--global-clocking-block.sv b/tests/chapter-14/14.3--global-clocking-block.sv index f1b82655e5da6..a21b2d628b172 100644 --- a/tests/chapter-14/14.3--global-clocking-block.sv +++ b/tests/chapter-14/14.3--global-clocking-block.sv @@ -11,6 +11,7 @@ :name: global_clocking_block :description: global clocking block test :tags: 14.3 +:unsynthesizable: 1 */ module top(input clk); diff --git a/tests/chapter-18/18.15--manually-seeding-randomize_0.sv b/tests/chapter-18/18.15--manually-seeding-randomize_0.sv index 9187acf22fd34..6ef1a1c7b9d91 100644 --- a/tests/chapter-18/18.15--manually-seeding-randomize_0.sv +++ b/tests/chapter-18/18.15--manually-seeding-randomize_0.sv @@ -11,6 +11,7 @@ :name: manually_seeding_randomize_0 :description: manually seeding randomize test :tags: 18.15 +:unsynthesizable: 1 */ class a; diff --git a/tests/chapter-18/18.5.11--static-constraint-blocks_0.sv b/tests/chapter-18/18.5.11--static-constraint-blocks_0.sv index c1b0bce11f3ac..d7a37fc485656 100644 --- a/tests/chapter-18/18.5.11--static-constraint-blocks_0.sv +++ b/tests/chapter-18/18.5.11--static-constraint-blocks_0.sv @@ -11,6 +11,7 @@ :name: static_constraint_blocks_0 :description: static constraint blocks test :tags: 18.5.11 +:unsynthesizable: 1 */ class a; @@ -18,5 +19,3 @@ class a; static constraint c1 { b == 5; } endclass - - diff --git a/tests/chapter-18/18.5.2--pure-constraint_0.sv b/tests/chapter-18/18.5.2--pure-constraint_0.sv index e5da538e86a08..8c6ddb9b70d0e 100644 --- a/tests/chapter-18/18.5.2--pure-constraint_0.sv +++ b/tests/chapter-18/18.5.2--pure-constraint_0.sv @@ -11,6 +11,7 @@ :name: pure_constraint_0 :description: pure constraint test :tags: 18.5.2 +:unsynthesizable: 1 */ virtual class a; diff --git a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_0.sv b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_0.sv index 79e5f014a16b0..239e4e2e07115 100644 --- a/tests/chapter-18/18.6.3--behavior-of-randomization-methods_0.sv +++ b/tests/chapter-18/18.6.3--behavior-of-randomization-methods_0.sv @@ -11,6 +11,7 @@ :name: behavior_of_randomization_methods_0 :description: static random variables test :tags: 18.6.3 +:unsynthesizable: 1 */ class a; diff --git a/tests/chapter-20/20.14--coverage.sv b/tests/chapter-20/20.14--coverage.sv index b6f4c8c725675..147b9c0183aba 100644 --- a/tests/chapter-20/20.14--coverage.sv +++ b/tests/chapter-20/20.14--coverage.sv @@ -12,6 +12,7 @@ :description: coverage routine test :tags: 20.14 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module DUT; diff --git a/tests/chapter-20/20.5--shortreal-bits-conv.sv b/tests/chapter-20/20.5--shortreal-bits-conv.sv index 0d5461a663a08..78c76be279a24 100644 --- a/tests/chapter-20/20.5--shortreal-bits-conv.sv +++ b/tests/chapter-20/20.5--shortreal-bits-conv.sv @@ -12,6 +12,7 @@ :description: $shortrealtobits and $bitstoshortreal test :tags: 20.5 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module top(); diff --git a/tests/chapter-24/24.3--program.sv b/tests/chapter-24/24.3--program.sv index 46deb18fc6f10..923ae77d32547 100644 --- a/tests/chapter-24/24.3--program.sv +++ b/tests/chapter-24/24.3--program.sv @@ -12,6 +12,7 @@ :description: program construct test :tags: 24.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ program prog(input wire a, input wire b); initial $display(":assert: (%d == %d)", a, b); diff --git a/tests/chapter-5/5.7.2-real-token.sv b/tests/chapter-5/5.7.2-real-token.sv index 371a3de517ef4..2a1860e991b7f 100644 --- a/tests/chapter-5/5.7.2-real-token.sv +++ b/tests/chapter-5/5.7.2-real-token.sv @@ -11,6 +11,7 @@ :name: real-token :description: Testing the real variable type :tags: 5.7.2 +:unsynthesizable: 1 */ module top(); real a; diff --git a/tests/chapter-5/5.8-time-literals.sv b/tests/chapter-5/5.8-time-literals.sv index 2d8a2eafcf852..d9bb37e29dab0 100644 --- a/tests/chapter-5/5.8-time-literals.sv +++ b/tests/chapter-5/5.8-time-literals.sv @@ -11,6 +11,7 @@ :name: time-literals :description: Examples of time literals :tags: 5.8 +:unsynthesizable: 1 */ `timescale 100ps/10ps diff --git a/tests/chapter-6/6.12--real.sv b/tests/chapter-6/6.12--real.sv index 232372dbadd5f..88798e208fea0 100644 --- a/tests/chapter-6/6.12--real.sv +++ b/tests/chapter-6/6.12--real.sv @@ -11,6 +11,7 @@ :name: real :description: real type tests :tags: 6.12 +:unsynthesizable: 1 */ module top(); real a = 0.5; diff --git a/tests/chapter-6/6.12--real_bit_select.sv b/tests/chapter-6/6.12--real_bit_select.sv index 062366f606e21..8d0845b90d564 100644 --- a/tests/chapter-6/6.12--real_bit_select.sv +++ b/tests/chapter-6/6.12--real_bit_select.sv @@ -13,6 +13,7 @@ :should_fail_because: it is illegal to do bit select on real data type :tags: 6.12 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); real a = 0.5; diff --git a/tests/chapter-6/6.12--real_bit_select_idx.sv b/tests/chapter-6/6.12--real_bit_select_idx.sv index 8bbaa18287a63..edcbc6cc7dc43 100644 --- a/tests/chapter-6/6.12--real_bit_select_idx.sv +++ b/tests/chapter-6/6.12--real_bit_select_idx.sv @@ -13,6 +13,7 @@ :should_fail_because: it is illegal to do bit select on real data type :tags: 6.12 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); real a = 0.5; diff --git a/tests/chapter-6/6.12--real_edge.sv b/tests/chapter-6/6.12--real_edge.sv index 626b38a58eef6..06f2625fc283c 100644 --- a/tests/chapter-6/6.12--real_edge.sv +++ b/tests/chapter-6/6.12--real_edge.sv @@ -13,6 +13,7 @@ :should_fail_because: it is illegal to use edge event controls on real type :tags: 6.12 :type: simulation elaboration +:unsynthesizable: 1 */ module top(); real a = 0.5; diff --git a/tests/chapter-6/6.12--realtime.sv b/tests/chapter-6/6.12--realtime.sv index 7f3d7d99e6a19..82d5b46fb3866 100644 --- a/tests/chapter-6/6.12--realtime.sv +++ b/tests/chapter-6/6.12--realtime.sv @@ -11,6 +11,7 @@ :name: realtime :description: realtime type tests :tags: 6.12 +:unsynthesizable: 1 */ module top(); realtime a = 0.5; diff --git a/tests/chapter-6/6.12--shortreal.sv b/tests/chapter-6/6.12--shortreal.sv index 97235337133fd..d93e378c1463a 100644 --- a/tests/chapter-6/6.12--shortreal.sv +++ b/tests/chapter-6/6.12--shortreal.sv @@ -11,6 +11,7 @@ :name: shortreal :description: shortreal type tests :tags: 6.12 +:unsynthesizable: 1 */ module top(); shortreal a = 0.5; diff --git a/tests/chapter-6/6.14--chandle.sv b/tests/chapter-6/6.14--chandle.sv index 048a646195035..b104863c55f6b 100644 --- a/tests/chapter-6/6.14--chandle.sv +++ b/tests/chapter-6/6.14--chandle.sv @@ -11,6 +11,7 @@ :name: chandle :description: chandle type tests :tags: 6.14 +:unsynthesizable: 1 */ module top(); chandle a; diff --git a/tests/chapter-6/6.16.10--string_atoreal.sv b/tests/chapter-6/6.16.10--string_atoreal.sv index 91a2f98ce7650..52f93426140f3 100644 --- a/tests/chapter-6/6.16.10--string_atoreal.sv +++ b/tests/chapter-6/6.16.10--string_atoreal.sv @@ -11,6 +11,7 @@ :name: string_atoreal :description: string.atoreal() tests :tags: 6.16.10 +:unsynthesizable: 1 */ module top(); string a = "4.76"; diff --git a/tests/chapter-6/6.17--event.sv b/tests/chapter-6/6.17--event.sv index b9de1399792e7..e77f79508b988 100644 --- a/tests/chapter-6/6.17--event.sv +++ b/tests/chapter-6/6.17--event.sv @@ -11,6 +11,7 @@ :name: event :description: event type tests :tags: 6.17 +:unsynthesizable: 1 */ module top(); event a; diff --git a/tests/chapter-6/6.20.3--parameter_type.sv b/tests/chapter-6/6.20.3--parameter_type.sv index 2f670f20fb3b4..6e5d0493870ec 100644 --- a/tests/chapter-6/6.20.3--parameter_type.sv +++ b/tests/chapter-6/6.20.3--parameter_type.sv @@ -11,6 +11,7 @@ :name: parameter_type :description: parameter type tests :tags: 6.20.3 +:unsynthesizable: 1 */ module top #(type T = real); endmodule diff --git a/tests/chapter-6/6.23--type_op.sv b/tests/chapter-6/6.23--type_op.sv index 768da61935f04..d21f9109492c0 100644 --- a/tests/chapter-6/6.23--type_op.sv +++ b/tests/chapter-6/6.23--type_op.sv @@ -11,6 +11,7 @@ :name: type_op :description: type operator tests :tags: 6.23 +:unsynthesizable: 1 */ module top(); real a = 4.76; diff --git a/tests/chapter-7/arrays/associative/other.sv b/tests/chapter-7/arrays/associative/other.sv index bc62adb35d055..e0227a0c41161 100644 --- a/tests/chapter-7/arrays/associative/other.sv +++ b/tests/chapter-7/arrays/associative/other.sv @@ -11,6 +11,7 @@ :name: associative-arrays-other-types :description: Test associative arrays support :tags: 7.8.1 +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-7/arrays/associative/wildcard.sv b/tests/chapter-7/arrays/associative/wildcard.sv index 028fdcd182118..369ef719fe99c 100644 --- a/tests/chapter-7/arrays/associative/wildcard.sv +++ b/tests/chapter-7/arrays/associative/wildcard.sv @@ -11,6 +11,7 @@ :name: associative-arrays-wildcard :description: Test associative arrays support :tags: 7.8.1 +:unsynthesizable: 1 */ module top (); diff --git a/tests/chapter-8/8.10--static_methods.sv b/tests/chapter-8/8.10--static_methods.sv index e9a59475c0ddb..473e551909f0a 100644 --- a/tests/chapter-8/8.10--static_methods.sv +++ b/tests/chapter-8/8.10--static_methods.sv @@ -11,6 +11,7 @@ :name: static_methods :description: static class methods test :tags: 8.10 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.13--inheritance.sv b/tests/chapter-8/8.13--inheritance.sv index 0501464010700..ff6560edf6d65 100644 --- a/tests/chapter-8/8.13--inheritance.sv +++ b/tests/chapter-8/8.13--inheritance.sv @@ -11,6 +11,7 @@ :name: inheritance :description: class inheritance test :tags: 8.13 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.14--override_member.sv b/tests/chapter-8/8.14--override_member.sv index 8c88dde0d5efd..15888822952f7 100644 --- a/tests/chapter-8/8.14--override_member.sv +++ b/tests/chapter-8/8.14--override_member.sv @@ -11,6 +11,7 @@ :name: override_member :description: class member override test :tags: 8.14 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.15--super.sv b/tests/chapter-8/8.15--super.sv index 6ccb57e6ed826..37e99a2db575f 100644 --- a/tests/chapter-8/8.15--super.sv +++ b/tests/chapter-8/8.15--super.sv @@ -11,6 +11,7 @@ :name: super :description: accessing superclass methods via super :tags: 8.15 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.16--cast_func.sv b/tests/chapter-8/8.16--cast_func.sv index 7ef4e2ab9dd57..f2a9c0d973672 100644 --- a/tests/chapter-8/8.16--cast_func.sv +++ b/tests/chapter-8/8.16--cast_func.sv @@ -11,6 +11,7 @@ :name: cast_func :description: $cast function test :tags: 8.16 +:unsynthesizable: 1 */ module class_tb (); typedef enum { aaa, bbb, ccc, ddd, eee } values; diff --git a/tests/chapter-8/8.17--constructor_const_arg.sv b/tests/chapter-8/8.17--constructor_const_arg.sv index 5f436c0184f09..5ca256fb24aca 100644 --- a/tests/chapter-8/8.17--constructor_const_arg.sv +++ b/tests/chapter-8/8.17--constructor_const_arg.sv @@ -11,6 +11,7 @@ :name: constructor_const_arg :description: class inheritance with a constant constructor argument :tags: 8.17 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.19--instance_constant.sv b/tests/chapter-8/8.19--instance_constant.sv index dbd2d5dfb5718..da4b63766a995 100644 --- a/tests/chapter-8/8.19--instance_constant.sv +++ b/tests/chapter-8/8.19--instance_constant.sv @@ -11,6 +11,7 @@ :name: instance_constant :description: class with instance constant variable :tags: 8.19 +:unsynthesizable: 1 */ module class_tb (); class a_cls; diff --git a/tests/chapter-8/8.20--virtual_method.sv b/tests/chapter-8/8.20--virtual_method.sv index 77663e2f82c40..b47da8e5345ba 100644 --- a/tests/chapter-8/8.20--virtual_method.sv +++ b/tests/chapter-8/8.20--virtual_method.sv @@ -11,6 +11,7 @@ :name: virtual_method :description: class with virtual methods :tags: 8.20 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.21--abstract_class.sv b/tests/chapter-8/8.21--abstract_class.sv index a5c0ca4b1f0f1..6f0cf8da4e76d 100644 --- a/tests/chapter-8/8.21--abstract_class.sv +++ b/tests/chapter-8/8.21--abstract_class.sv @@ -11,6 +11,7 @@ :name: abstract_class :description: class extending abstract class :tags: 8.21 +:unsynthesizable: 1 */ module class_tb (); virtual class base_cls; diff --git a/tests/chapter-8/8.21--abstract_class_inst.sv b/tests/chapter-8/8.21--abstract_class_inst.sv index ffa09e3ba8fac..3a841cb931b23 100644 --- a/tests/chapter-8/8.21--abstract_class_inst.sv +++ b/tests/chapter-8/8.21--abstract_class_inst.sv @@ -13,6 +13,7 @@ :should_fail_because: instantiating abstract class :tags: 8.21 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); virtual class base_cls; diff --git a/tests/chapter-8/8.22--dynamic_method_lookup.sv b/tests/chapter-8/8.22--dynamic_method_lookup.sv index 022167664199a..488e4469b9dcb 100644 --- a/tests/chapter-8/8.22--dynamic_method_lookup.sv +++ b/tests/chapter-8/8.22--dynamic_method_lookup.sv @@ -11,6 +11,7 @@ :name: dynamic_method_lookup :description: dynamic method selection with abstract base class :tags: 8.22 +:unsynthesizable: 1 */ module class_tb (); virtual class base_cls; diff --git a/tests/chapter-8/8.23--scope_resolution.sv b/tests/chapter-8/8.23--scope_resolution.sv index 8d1d7732acaf0..d862b09cdd091 100644 --- a/tests/chapter-8/8.23--scope_resolution.sv +++ b/tests/chapter-8/8.23--scope_resolution.sv @@ -11,6 +11,7 @@ :name: scope_resolution :description: access static method using scope resolution operator :tags: 8.23 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.24--out_of_block_methods.sv b/tests/chapter-8/8.24--out_of_block_methods.sv index 0fc8f171490ff..f4e3c3364d6d6 100644 --- a/tests/chapter-8/8.24--out_of_block_methods.sv +++ b/tests/chapter-8/8.24--out_of_block_methods.sv @@ -11,6 +11,7 @@ :name: out_of_block_methods :description: out-of-body method declaration :tags: 8.24 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.25--parametrized_class_extend.sv b/tests/chapter-8/8.25--parametrized_class_extend.sv index b9eedc269414f..d21d78d775e30 100644 --- a/tests/chapter-8/8.25--parametrized_class_extend.sv +++ b/tests/chapter-8/8.25--parametrized_class_extend.sv @@ -11,6 +11,7 @@ :name: parametrized_class_extend :description: parametrized class extending another parametrized class :tags: 8.25 +:unsynthesizable: 1 */ module class_tb (); class base_cls #(int b = 20); diff --git a/tests/chapter-8/8.25.1--parametrized_class_invalid_scope_resolution.sv b/tests/chapter-8/8.25.1--parametrized_class_invalid_scope_resolution.sv index 30b48cc67a71f..4cb93aa5f7431 100644 --- a/tests/chapter-8/8.25.1--parametrized_class_invalid_scope_resolution.sv +++ b/tests/chapter-8/8.25.1--parametrized_class_invalid_scope_resolution.sv @@ -13,6 +13,7 @@ :should_fail_because: parametrized class invalid scope resolution :tags: 8.25.1 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); diff --git a/tests/chapter-8/8.25.1--parametrized_class_scope_resolution.sv b/tests/chapter-8/8.25.1--parametrized_class_scope_resolution.sv index 95d72c76fc29f..dd7ef66471c5a 100644 --- a/tests/chapter-8/8.25.1--parametrized_class_scope_resolution.sv +++ b/tests/chapter-8/8.25.1--parametrized_class_scope_resolution.sv @@ -11,6 +11,7 @@ :name: parametrized_class_scope_resolution :description: parametrized class scope resolution :tags: 8.25.1 +:unsynthesizable: 1 */ module class_tb (); diff --git a/tests/chapter-8/8.26.2--implements.sv b/tests/chapter-8/8.26.2--implements.sv index 4231b838f3c4e..3aad0010dfc1e 100644 --- a/tests/chapter-8/8.26.2--implements.sv +++ b/tests/chapter-8/8.26.2--implements.sv @@ -11,6 +11,7 @@ :name: implements :description: implements keyword test :tags: 8.26.2 +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.2--implements_extends.sv b/tests/chapter-8/8.26.2--implements_extends.sv index ac3c8542adf0e..88c4619f26229 100644 --- a/tests/chapter-8/8.26.2--implements_extends.sv +++ b/tests/chapter-8/8.26.2--implements_extends.sv @@ -11,6 +11,7 @@ :name: implements_extends :description: class both implementing and extending :tags: 8.26.2 +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.2--implements_multiple.sv b/tests/chapter-8/8.26.2--implements_multiple.sv index b2eafda396271..4abef7b129939 100644 --- a/tests/chapter-8/8.26.2--implements_multiple.sv +++ b/tests/chapter-8/8.26.2--implements_multiple.sv @@ -11,6 +11,7 @@ :name: implements_multiple :description: class implementing multiple interfaces :tags: 8.26.2 +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.3--type_access_implements.sv b/tests/chapter-8/8.26.3--type_access_implements.sv index 32135bc183756..638d4cc7fde32 100644 --- a/tests/chapter-8/8.26.3--type_access_implements.sv +++ b/tests/chapter-8/8.26.3--type_access_implements.sv @@ -12,6 +12,7 @@ :description: access interface class type with scope resolution operator :tags: 8.26.3 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.3--type_access_implements_invalid.sv b/tests/chapter-8/8.26.3--type_access_implements_invalid.sv index 541edc6de2608..7cd8359100b26 100644 --- a/tests/chapter-8/8.26.3--type_access_implements_invalid.sv +++ b/tests/chapter-8/8.26.3--type_access_implements_invalid.sv @@ -13,6 +13,7 @@ :should_fail_because: typedefs are not inherited by implements operator :tags: 8.26.3 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.4--illegal_forward_def_implements.sv b/tests/chapter-8/8.26.4--illegal_forward_def_implements.sv index a94e1863408f8..b97022dd325a1 100644 --- a/tests/chapter-8/8.26.4--illegal_forward_def_implements.sv +++ b/tests/chapter-8/8.26.4--illegal_forward_def_implements.sv @@ -13,6 +13,7 @@ :should_fail_because: implementing forward typedef for an interface class should fail :tags: 8.26.4 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); typedef interface class ihello; diff --git a/tests/chapter-8/8.26.4--illegal_implements_parameter.sv b/tests/chapter-8/8.26.4--illegal_implements_parameter.sv index bee8ef89b9429..180355fb079cc 100644 --- a/tests/chapter-8/8.26.4--illegal_implements_parameter.sv +++ b/tests/chapter-8/8.26.4--illegal_implements_parameter.sv @@ -13,6 +13,7 @@ :should_fail_because: implementing parameter that resolves to an interface class is not allowed :tags: 8.26.4 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.5--cast_between_interface_classes.sv b/tests/chapter-8/8.26.5--cast_between_interface_classes.sv index 314e772b544e6..18466f00dadfe 100644 --- a/tests/chapter-8/8.26.5--cast_between_interface_classes.sv +++ b/tests/chapter-8/8.26.5--cast_between_interface_classes.sv @@ -11,6 +11,7 @@ :name: cast_between_interface_classes :description: it should be possible to cast between implemented interface classes :tags: 8.26.2 +:unsynthesizable: 1 */ module class_tb (); interface class ihello; @@ -20,7 +21,7 @@ module class_tb (); interface class itest; pure virtual function void test(); endclass - + class Hello implements ihello, itest; virtual function void hello(); $display("hello world"); diff --git a/tests/chapter-8/8.26.5--implemented_class_handle.sv b/tests/chapter-8/8.26.5--implemented_class_handle.sv index 2d3a7931255f4..c4465278cacc0 100644 --- a/tests/chapter-8/8.26.5--implemented_class_handle.sv +++ b/tests/chapter-8/8.26.5--implemented_class_handle.sv @@ -11,6 +11,7 @@ :name: implemented_class_handle :description: it should be possible to assign object handle to a variable of an implemented class type :tags: 8.26.5 +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.5--invalid_interface_instantiation.sv b/tests/chapter-8/8.26.5--invalid_interface_instantiation.sv index d9b7554f7aa57..c404b48f77788 100644 --- a/tests/chapter-8/8.26.5--invalid_interface_instantiation.sv +++ b/tests/chapter-8/8.26.5--invalid_interface_instantiation.sv @@ -13,6 +13,7 @@ :should_fail_because: instantiating an interface class :tags: 8.26.5 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.6.1--name_conflict_resolved.sv b/tests/chapter-8/8.26.6.1--name_conflict_resolved.sv index 4a15550bb9185..95da4d4526282 100644 --- a/tests/chapter-8/8.26.6.1--name_conflict_resolved.sv +++ b/tests/chapter-8/8.26.6.1--name_conflict_resolved.sv @@ -12,6 +12,7 @@ :description: resolved interface class method name conflict :tags: 8.26.6.1 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.6.1--name_conflict_unresolved.sv b/tests/chapter-8/8.26.6.1--name_conflict_unresolved.sv index 24bba681fdd84..e62aec1a8258c 100644 --- a/tests/chapter-8/8.26.6.1--name_conflict_unresolved.sv +++ b/tests/chapter-8/8.26.6.1--name_conflict_unresolved.sv @@ -13,6 +13,7 @@ :should_fail_because: unresolved interface class method name conflict :tags: 8.26.6.1 :type: simulation elaboration +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.26.7--partial_implementation.sv b/tests/chapter-8/8.26.7--partial_implementation.sv index 14b9f70dffeb2..bff25f79560cb 100644 --- a/tests/chapter-8/8.26.7--partial_implementation.sv +++ b/tests/chapter-8/8.26.7--partial_implementation.sv @@ -11,6 +11,7 @@ :name: partial_implementation :description: virtual classes can implement their interfaces partially :tags: 8.26.7 +:unsynthesizable: 1 */ module class_tb (); interface class ihello; diff --git a/tests/chapter-8/8.4--instantiation.sv b/tests/chapter-8/8.4--instantiation.sv index f9e95540b1faa..5ab0b9389f388 100644 --- a/tests/chapter-8/8.4--instantiation.sv +++ b/tests/chapter-8/8.4--instantiation.sv @@ -11,6 +11,7 @@ :name: instantiation :description: simple class instantiation test :tags: 8.4 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.5--parameters.sv b/tests/chapter-8/8.5--parameters.sv index 583a697a3b8c4..b7e5b26ed574b 100644 --- a/tests/chapter-8/8.5--parameters.sv +++ b/tests/chapter-8/8.5--parameters.sv @@ -12,6 +12,7 @@ :description: parametrized class test :tags: 8.5 8.25 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module class_tb (); class test_cls #(parameter a = 12); diff --git a/tests/chapter-8/8.5--properties.sv b/tests/chapter-8/8.5--properties.sv index 28fef7b3529fa..66331c80dfda9 100644 --- a/tests/chapter-8/8.5--properties.sv +++ b/tests/chapter-8/8.5--properties.sv @@ -12,6 +12,7 @@ :description: class properties test :tags: 8.5 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.5--properties_enum.sv b/tests/chapter-8/8.5--properties_enum.sv index 31b922ff6d745..b7aabeb8b823b 100644 --- a/tests/chapter-8/8.5--properties_enum.sv +++ b/tests/chapter-8/8.5--properties_enum.sv @@ -11,6 +11,7 @@ :name: properties_enum :description: enum defined inside class :tags: 8.5 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.7--constructor.sv b/tests/chapter-8/8.7--constructor.sv index 7d634be0547d6..3757a5b72cbc2 100644 --- a/tests/chapter-8/8.7--constructor.sv +++ b/tests/chapter-8/8.7--constructor.sv @@ -12,6 +12,7 @@ :description: class constructor test :tags: 8.7 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.7--constructor_param.sv b/tests/chapter-8/8.7--constructor_param.sv index 1a4fc1b75fdc0..72a166ba64c79 100644 --- a/tests/chapter-8/8.7--constructor_param.sv +++ b/tests/chapter-8/8.7--constructor_param.sv @@ -12,6 +12,7 @@ :description: class constructor with arguments test :tags: 8.7 :type: simulation elaboration parsing +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-8/8.7--constructor_super.sv b/tests/chapter-8/8.7--constructor_super.sv index bfee14e9c9506..856c3543abeaf 100644 --- a/tests/chapter-8/8.7--constructor_super.sv +++ b/tests/chapter-8/8.7--constructor_super.sv @@ -11,6 +11,7 @@ :name: constructor_super :description: class constructor super test :tags: 8.7 8.17 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.8--typed_constructor.sv b/tests/chapter-8/8.8--typed_constructor.sv index 3d64e10815911..650b114579e44 100644 --- a/tests/chapter-8/8.8--typed_constructor.sv +++ b/tests/chapter-8/8.8--typed_constructor.sv @@ -11,6 +11,7 @@ :name: typed_constructor :description: class typed constructor test :tags: 8.8 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.8--typed_constructor_param.sv b/tests/chapter-8/8.8--typed_constructor_param.sv index fd0165d794c8b..da1e0b9760551 100644 --- a/tests/chapter-8/8.8--typed_constructor_param.sv +++ b/tests/chapter-8/8.8--typed_constructor_param.sv @@ -11,6 +11,7 @@ :name: typed_constructor_param :description: typed class constructor with parameters test :tags: 8.8 +:unsynthesizable: 1 */ module class_tb (); class super_cls; diff --git a/tests/chapter-8/8.9--static_properties.sv b/tests/chapter-8/8.9--static_properties.sv index acab254bc070c..59b66631a6546 100644 --- a/tests/chapter-8/8.9--static_properties.sv +++ b/tests/chapter-8/8.9--static_properties.sv @@ -11,6 +11,7 @@ :name: static_properties :description: static class properties test :tags: 8.9 +:unsynthesizable: 1 */ module class_tb (); class test_cls; diff --git a/tests/chapter-9/9.4.3--event_sequence_controls.sv b/tests/chapter-9/9.4.3--event_sequence_controls.sv index dbc6a9a529385..9ac2499c3636c 100644 --- a/tests/chapter-9/9.4.3--event_sequence_controls.sv +++ b/tests/chapter-9/9.4.3--event_sequence_controls.sv @@ -11,6 +11,7 @@ :name: event_sequence_controls :description: event sequence :tags: 9.4.3 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.4.5--event_nonblocking_assignment_event.sv b/tests/chapter-9/9.4.5--event_nonblocking_assignment_event.sv index 62105550e2ae3..cace97d5f404f 100644 --- a/tests/chapter-9/9.4.5--event_nonblocking_assignment_event.sv +++ b/tests/chapter-9/9.4.5--event_nonblocking_assignment_event.sv @@ -11,6 +11,7 @@ :name: event_nonblocking_assignment_event :description: event non blk assignment event :tags: 9.4.5 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat.sv b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat.sv index e4b30917ba243..4e98f14e6a55b 100644 --- a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat.sv +++ b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat.sv @@ -11,6 +11,7 @@ :name: event_nonblocking_assignment_repeat :description: event non blk assignment repeat :tags: 9.4.5 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int.sv b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int.sv index 39792349212b0..50fa5f02c71f2 100644 --- a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int.sv +++ b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int.sv @@ -11,6 +11,7 @@ :name: event_nonblocking_assignment_repeat_int :description: event non blk assignment repeat :tags: 9.4.5 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int_neg.sv b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int_neg.sv index e6e648de6c597..d36c87571657c 100644 --- a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int_neg.sv +++ b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_int_neg.sv @@ -11,6 +11,7 @@ :name: event_nonblocking_assignment_repeat_int_neg :description: event non blk assignment repeat :tags: 9.4.5 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_neg.sv b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_neg.sv index 42c8abdf1e175..002f8de3b9d8f 100644 --- a/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_neg.sv +++ b/tests/chapter-9/9.4.5--event_nonblocking_assignment_repeat_neg.sv @@ -11,6 +11,7 @@ :name: event_nonblocking_assignment_repeat_neg :description: event non blk assignment repeat :tags: 9.4.5 +:unsynthesizable: 1 */ module block_tb (); reg a = 0; diff --git a/tests/generic/class/class_test_54.sv b/tests/generic/class/class_test_54.sv index 7593015679aa6..07732a1620f09 100644 --- a/tests/generic/class/class_test_54.sv +++ b/tests/generic/class/class_test_54.sv @@ -11,6 +11,7 @@ :name: class_test_54 :description: Test :tags: 6.15 8.3 +:unsynthesizable: 1 */ class event_calendar; event birthday; diff --git a/tests/generic/class/class_test_55.sv b/tests/generic/class/class_test_55.sv index 2f76cf757130c..9f4badd1d9203 100644 --- a/tests/generic/class/class_test_55.sv +++ b/tests/generic/class/class_test_55.sv @@ -11,6 +11,7 @@ :name: class_test_55 :description: Test :tags: 6.15 8.3 +:unsynthesizable: 1 */ class Packet; endclass diff --git a/tests/generic/member/class_member_test_12.sv b/tests/generic/member/class_member_test_12.sv index b8e162896712b..c733450541737 100644 --- a/tests/generic/member/class_member_test_12.sv +++ b/tests/generic/member/class_member_test_12.sv @@ -11,6 +11,7 @@ :name: class_member_test_12 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class semaphore; local chandle p_handle; diff --git a/tests/generic/member/class_member_test_39.sv b/tests/generic/member/class_member_test_39.sv index 61f05d287dc66..9cdab446dc47f 100644 --- a/tests/generic/member/class_member_test_39.sv +++ b/tests/generic/member/class_member_test_39.sv @@ -11,6 +11,7 @@ :name: class_member_test_39 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class constructible; function new; diff --git a/tests/generic/member/class_member_test_40.sv b/tests/generic/member/class_member_test_40.sv index 82f0ea61c6685..4ee782540d6d0 100644 --- a/tests/generic/member/class_member_test_40.sv +++ b/tests/generic/member/class_member_test_40.sv @@ -11,6 +11,7 @@ :name: class_member_test_40 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class constructible; function new (); diff --git a/tests/generic/member/class_member_test_41.sv b/tests/generic/member/class_member_test_41.sv index 972eefa4539ee..fc5cd7c41c2c2 100644 --- a/tests/generic/member/class_member_test_41.sv +++ b/tests/generic/member/class_member_test_41.sv @@ -11,6 +11,7 @@ :name: class_member_test_41 :description: Test :tags: 8.3 +:unsynthesizable: 1 */ class constructible; function new (); From 6fa5351f97fefb3782753da3ebb0ecb83ccd0d8b Mon Sep 17 00:00:00 2001 From: Ethan Sifferman Date: Thu, 12 Oct 2023 12:38:44 -0700 Subject: [PATCH 3/3] unsynthesizable uvm generators --- conf/generators/templates/uvm-classes_0.sv | 17 +++++++++-------- conf/generators/templates/uvm-classes_1.sv | 15 ++++++++------- conf/generators/templates/uvm-classes_3.sv | 3 ++- generators/easyUVM | 1 + 4 files changed, 20 insertions(+), 16 deletions(-) diff --git a/conf/generators/templates/uvm-classes_0.sv b/conf/generators/templates/uvm-classes_0.sv index 4286d8b553aa4..18e3d932a367e 100644 --- a/conf/generators/templates/uvm-classes_0.sv +++ b/conf/generators/templates/uvm-classes_0.sv @@ -12,6 +12,7 @@ :description: {0} class test :tags: uvm uvm-classes :type: simulation elaboration parsing +:unsynthesizable: 1 */ import uvm_pkg::*; @@ -23,41 +24,41 @@ class C extends {0}; super.new(name, parent); `uvm_info("RESULT", "new {0} created", UVM_LOW); endfunction - + virtual function void build_phase(uvm_phase phase); super.build_phase(phase); `uvm_info("RESULT", "build phase completed", UVM_LOW); endfunction - + virtual function void connect_phase(uvm_phase phase); super.connect_phase(phase); `uvm_info("RESULT", "connect phase completed", UVM_LOW); endfunction - + virtual function void end_of_elaboration_phase(uvm_phase phase); super.end_of_elaboration_phase(phase); `uvm_info("RESULT", "end of elaboration phase completed", UVM_LOW); endfunction - + virtual function void start_of_simulation_phase(uvm_phase phase); super.start_of_simulation_phase(phase); `uvm_info("RESULT", "start of simulation phase completed", UVM_LOW); endfunction - + task run_phase(uvm_phase phase); `uvm_info("RESULT", "run phase phase completed", UVM_LOW); endtask - + virtual function void extract_phase(uvm_phase phase); super.extract_phase(phase); `uvm_info("RESULT", "extract phase completed", UVM_LOW); endfunction - + virtual function void check_phase(uvm_phase phase); super.check_phase(phase); `uvm_info("RESULT", "check phase completed", UVM_LOW); endfunction - + virtual function void report_phase(uvm_phase phase); super.report_phase(phase); `uvm_info("RESULT", "report phase completed", UVM_LOW); diff --git a/conf/generators/templates/uvm-classes_1.sv b/conf/generators/templates/uvm-classes_1.sv index 43b0e5d1136b4..6ee224c3c7c56 100644 --- a/conf/generators/templates/uvm-classes_1.sv +++ b/conf/generators/templates/uvm-classes_1.sv @@ -12,6 +12,7 @@ :description: {0} class test :tags: uvm uvm-classes :type: simulation elaboration parsing +:unsynthesizable: 1 */ import uvm_pkg::*; @@ -37,13 +38,13 @@ endmodule class C extends {0}; virtual output_if out_vif; virtual input_if in_vif; - + `uvm_component_utils(C) - + function new(string name, uvm_component parent = null); super.new(name, parent); endfunction - + virtual function void connect_phase(uvm_phase phase); super.connect_phase(phase); assert(uvm_resource_db#(virtual input_if)::read_by_name( @@ -51,11 +52,11 @@ class C extends {0}; assert(uvm_resource_db#(virtual output_if)::read_by_name( "C", "output_if", out_vif)); endfunction - + virtual function void build_phase(uvm_phase phase); super.build_phase(phase); endfunction - + task run_phase(uvm_phase phase); phase.raise_objection(this); `uvm_info("RESULT", $sformatf("Writing %0d to input interface", `PATTERN), UVM_LOW); @@ -79,9 +80,9 @@ module top; input_if in(clk); output_if out(clk); dut d(in, out); - + always #5 clk = !clk; - + initial begin obj = new("C"); uvm_resource_db#(virtual input_if)::set("C","input_if", in); diff --git a/conf/generators/templates/uvm-classes_3.sv b/conf/generators/templates/uvm-classes_3.sv index 016f9d1ea4bbb..3d18d793976f4 100644 --- a/conf/generators/templates/uvm-classes_3.sv +++ b/conf/generators/templates/uvm-classes_3.sv @@ -12,6 +12,7 @@ :description: {0} class test :tags: uvm uvm-classes :type: simulation elaboration parsing +:unsynthesizable: 1 */ import uvm_pkg::*; @@ -28,7 +29,7 @@ endclass module top; C obj; - + initial begin obj = new("C"); end diff --git a/generators/easyUVM b/generators/easyUVM index 5ec319841684d..94142e1820d90 100755 --- a/generators/easyUVM +++ b/generators/easyUVM @@ -20,6 +20,7 @@ templ = """/* :incdirs: {1} :tags: uvm :timeout: 100 +:unsynthesizable: 1 */ """