diff --git a/.github/workflows/logs_on_demand.yml b/.github/workflows/logs_on_demand.yml index fafd9ba646..ec93f948fe 100644 --- a/.github/workflows/logs_on_demand.yml +++ b/.github/workflows/logs_on_demand.yml @@ -193,7 +193,7 @@ jobs: rm ${{ env.build-artifact-name }}.tar.gz python3 scripts/regression.py run \ - --uhdm-lint-filepath bin/uhdm-lint \ + --uhdm-dump-filepath bin/uhdm-dump \ --jobs $(nproc) \ --show-diffs \ --num_shards=${{ matrix.num_shards }} \ diff --git a/.github/workflows/main.yml b/.github/workflows/main.yml index d9d76ca5c1..a207b2e05c 100644 --- a/.github/workflows/main.yml +++ b/.github/workflows/main.yml @@ -144,7 +144,7 @@ jobs: rm ${{ env.build-artifact-name }}.tar.gz python3 scripts/regression.py run \ - --uhdm-lint-filepath bin/uhdm-lint \ + --uhdm-dump-filepath bin/uhdm-dump \ --jobs $(nproc) \ --show-diffs \ --num_shards=${{ matrix.num_shards }} \ @@ -285,7 +285,7 @@ jobs: rm ${{ env.build-artifact-name }}.tar.gz python3 scripts/regression.py run \ - --uhdm-lint-filepath bin/uhdm-lint \ + --uhdm-dump-filepath bin/uhdm-dump \ --tool valgrind \ --filters ${{ matrix.project }} @@ -537,7 +537,7 @@ jobs: rm ${{ env.build-artifact-name }}.tar.gz python3 scripts/regression.py run \ - --uhdm-lint-filepath bin/uhdm-lint.exe \ + --uhdm-dump-filepath bin/uhdm-dump.exe \ --jobs $(nproc) \ --show-diffs \ --num_shards=${{ matrix.num_shards }} \ @@ -581,7 +581,6 @@ jobs: - name: Install Core Dependencies run: | choco install -y make - choco install -y ninja vcpkg install zlib zlib:x64-windows - name: Setup Python @@ -791,7 +790,7 @@ jobs: timeout-minutes: 120 run: | python3 scripts/regression.py run^ - --uhdm-lint-filepath bin/uhdm-lint.exe^ + --uhdm-dump-filepath bin/uhdm-dump.exe^ --jobs %NUMBER_OF_PROCESSORS%^ --show-diffs^ --num_shards=${{ matrix.num_shards }}^ @@ -975,7 +974,7 @@ jobs: rm ${{ env.build-artifact-name }}.tar.gz python3 scripts/regression.py run \ - --uhdm-lint-filepath bin/uhdm-lint \ + --uhdm-dump-filepath bin/uhdm-dump \ --jobs $(sysctl -n hw.physicalcpu) \ --show-diffs \ --num_shards=${{ matrix.num_shards }} \ diff --git a/scripts/regression.py b/scripts/regression.py index 87f0ab732c..4271b3449b 100644 --- a/scripts/regression.py +++ b/scripts/regression.py @@ -37,7 +37,7 @@ def _is_ci_build(): _default_build_dirpath = 'build' if not _is_ci_build(): - _default_build_dirpath = os.path.join('out', 'build', 'x64-Debug') + # _default_build_dirpath = os.path.join('out', 'build', 'x64-Debug') # _default_build_dirpath = os.path.join('out', 'build', 'x64-Release') # _default_build_dirpath = os.path.join('out', 'build', 'x64-Clang-Debug') # _default_build_dirpath = os.path.join('out', 'build', 'x64-Clang-Release') @@ -45,10 +45,10 @@ def _is_ci_build(): _default_output_dirpath = 'regression' _default_surelog_filename = 'surelog.exe' if platform.system() == 'Windows' else 'surelog' -_default_uhdm_lint_filename = 'uhdm-lint.exe' if platform.system() == 'Windows' else 'uhdm-lint' +_default_uhdm_dump_filename = 'uhdm-dump.exe' if platform.system() == 'Windows' else 'uhdm-dump' _default_roundtrip_filename = 'roundtrip.exe' if platform.system() == 'Windows' else 'roundtrip' _default_surelog_filepath = os.path.join('bin', _default_surelog_filename) -_default_uhdm_lint_filepath = os.path.join('third_party', 'UHDM', 'bin', _default_uhdm_lint_filename) +_default_uhdm_dump_filepath = os.path.join('third_party', 'UHDM', 'bin', _default_uhdm_dump_filename) _default_roundtrip_filepath = os.path.join('bin', _default_roundtrip_filename) _re_status_1 = re.compile(r'^\s*\[\s*(?P\w+)\]\s*:\s*(?P\d+)$') @@ -242,7 +242,7 @@ def _normalize_log(content, path_mappings): def _get_log_statistics(filepath): # For the time being don't allow the regression to fail because of # differences in roundtrip results. This is still work in progress!! - statistics = { 'ROUNDTRIP_A': 0, 'ROUNDTRIP_B': 0, 'LINT': 0 } + statistics = { 'ROUNDTRIP_A': 0, 'ROUNDTRIP_B': 0 } if not os.path.isfile(filepath): return statistics @@ -258,11 +258,11 @@ def _get_log_statistics(filepath): ] negatives = {} + notes = 0 uhdm_dump_started = False uhdm_stats = {} uhdm_stat_dump_started = False uhdm_line_count = 0 - lint_count = 0 with open(filepath, 'rt', encoding='cp850') as strm: for line in strm: line = line.strip() @@ -280,9 +280,6 @@ def _get_log_statistics(filepath): uhdm_stats[parts[0]] = uhdm_stats.get(parts[0], 0) + int(parts[1]) continue - if line.startswith('[LINT]: '): - lint_count += 1 - m = _re_status_3.match(line) if m: statistics['ROUNDTRIP_A'] = statistics.get('ROUNDTRIP_A', 0) + int(m.group('diffcount').strip()) @@ -309,7 +306,6 @@ def _get_log_statistics(filepath): statistics['NOTE'] = statistics.get('NOTE', 0) + uhdm_line_count statistics['STATS'] = uhdm_stats - statistics['LINT'] = lint_count for key, value in negatives.items(): statistics[key] = max(statistics.get(key, 0) - value, 0) @@ -486,33 +482,33 @@ def _run_surelog( } -def _run_uhdm_lint( - name, uhdm_lint_filepath, uhdm_src_filepath, uhdm_lint_log_filepath, output_dirpath): +def _run_uhdm_dump( + name, uhdm_dump_filepath, uhdm_src_filepath, uhdm_dump_log_filepath, output_dirpath): start_dt = datetime.now() print(f'start-time: {start_dt}') status = Status.PASS - uhdm_args = [uhdm_lint_filepath, uhdm_src_filepath] + uhdm_args = [uhdm_dump_filepath, uhdm_src_filepath] - print('Launching uhdm-lint with arguments:') + print('Launching uhdm-dump with arguments:') pprint.pprint(uhdm_args) print('\n') - with open(uhdm_lint_log_filepath, 'wt', encoding='cp850') as uhdm_lint_log_strm: + with open(uhdm_dump_log_filepath, 'wt', encoding='cp850') as uhdm_dump_log_strm: try: result = subprocess.run( uhdm_args, - stdout=uhdm_lint_log_strm, + stdout=uhdm_dump_log_strm, stderr=subprocess.STDOUT, check=False, - cwd=os.path.dirname(uhdm_lint_filepath)) - print(f'uhdm-lint terminated with exit code: {result.returncode}') + cwd=os.path.dirname(uhdm_dump_filepath)) + print(f'uhdm-dump terminated with exit code: {result.returncode}') except: status = Status.FAILDUMP - print(f'uhdm-lint threw an exception') + print(f'uhdm-dump threw an exception') traceback.print_exc() - uhdm_lint_log_strm.flush() + uhdm_dump_log_strm.flush() end_dt = datetime.now() delta = end_dt - start_dt @@ -567,7 +563,7 @@ def _compare_one(lhs_filepath, rhs_filepath, prefilter=lambda x: x): def _run_one(params): start_dt = datetime.now() - name, filepath, workspace_dirpath, surelog_filepath, uhdm_lint_filepath, roundtrip_filepath, mp, mt, tool, output_dirpath = params + name, filepath, workspace_dirpath, surelog_filepath, uhdm_dump_filepath, roundtrip_filepath, mp, mt, tool, output_dirpath = params log(f'Running {name} ...') @@ -577,7 +573,7 @@ def _run_one(params): uvm_reldirpath = os.path.relpath(os.path.join(workspace_dirpath, 'third_party', 'UVM'), dirpath) uhdm_slpp_all_filepath = os.path.join(output_dirpath, 'slpp_all', 'surelog.uhdm') uhdm_slpp_unit_filepath = os.path.join(output_dirpath, 'slpp_unit', 'surelog.uhdm') - uhdm_lint_log_filepath = os.path.join(output_dirpath, 'lint.log') + uhdm_dump_log_filepath = os.path.join(output_dirpath, 'uhdm.dump') roundtrip_output_dirpath = os.path.join(output_dirpath, 'roundtrip') roundtrip_log_filepath = os.path.join(roundtrip_output_dirpath, 'roundtrip.log') @@ -609,14 +605,14 @@ def _run_one(params): print(f' test-filepath: {filepath}') print(f' workspace-dirpath: {workspace_dirpath}') print(f' surelog-filepath: {surelog_filepath}') - print(f' uhdm_lint-filepath: {uhdm_lint_filepath}') + print(f' uhdm_dump-filepath: {uhdm_dump_filepath}') print(f' uvm-reldirpath: {uvm_reldirpath}') print(f' output-dirpath: {output_dirpath}') print(f' golden-log-filepath: {golden_log_filepath}') print(f' surelog-log-filepath: {surelog_log_filepath}') print(f' uhdm-slpp_all-filepath: {uhdm_slpp_all_filepath}') print(f' uhdm-slpp_unit-filepath: {uhdm_slpp_unit_filepath}') - print(f' uhdm-lint-log-filepath: {uhdm_lint_log_filepath}') + print(f' uhdm-dump-log-filepath: {uhdm_dump_log_filepath}') print(f'roundtrip-output-dirpath: {roundtrip_output_dirpath}') print(f' roundtrip_log_filepath: {roundtrip_log_filepath}') print(f' tool: {tool}') @@ -644,18 +640,13 @@ def _run_one(params): print(f'File not found: {uhdm_slpp_all_filepath}') print(f'File not found: {uhdm_slpp_unit_filepath}') - uhdmlint_content = [] if uhdm_src_filepath and result['STATUS'] == Status.PASS: - print('Running uhdm-lint ...', flush=True) - result.update(_run_uhdm_lint( - name, uhdm_lint_filepath, uhdm_src_filepath, uhdm_lint_log_filepath, output_dirpath)) + print('Running uhdm-dump ...', flush=True) + result.update(_run_uhdm_dump( + name, uhdm_dump_filepath, uhdm_src_filepath, uhdm_dump_log_filepath, output_dirpath)) print('\n') regression_log_strm.flush() - if os.path.isfile(uhdm_lint_log_filepath): - with open(uhdm_lint_log_filepath, 'rt') as log_strm: - uhdmlint_content.extend(['[LINT]: ' + line.rstrip() for line in log_strm]) - roundtrip_content = [] if not tool and result['STATUS'] == Status.PASS: print('Running roundtrip ...', flush=True) @@ -675,17 +666,8 @@ def _run_one(params): if 'Segmentation fault' in content: result['STATUS'] = Status.SEGFLT - if uhdmlint_content: - content += '\n' + ('=' * 30) + ' Begin Linting Results ' + ('=' * 30) - content += '\n' + '\n'.join(uhdmlint_content) - content += '\n' + ('=' * 30) + ' End Linting Results ' + ('=' * 30) - content += '\n' - if roundtrip_content: - content += '\n' + ('=' * 30) + ' Begin RoundTrip Results ' + ('=' * 30) - content += '\n' + '\n'.join(roundtrip_content) - content += '\n' + ('=' * 30) + ' End RoundTrip Results ' + ('=' * 30) - content += '\n' + content += '\n\n' + '\n'.join(roundtrip_content) content = _normalize_log(content, { workspace_dirpath: '${SURELOG_DIR}' @@ -877,10 +859,7 @@ def _update_one(params): def _print_report(results): - columns = [ - 'TESTNAME', 'STATUS', 'FATAL', 'SYNTAX', 'ERROR', 'WARNING', - 'NOTE', 'LINT', 'CPU-TIME', 'VTL-MEM', 'PHY-MEM', 'ROUNDTRIP' - ] + columns = ['TESTNAME', 'STATUS', 'FATAL', 'SYNTAX', 'ERROR', 'WARNING', 'NOTE', 'CPU-TIME', 'VTL-MEM', 'PHY-MEM', 'ROUNDTRIP'] rows = [] summary = OrderedDict([(status.name, 0) for status in Status]) @@ -904,10 +883,9 @@ def _get_cell_value(name): _get_cell_value(columns[4]), _get_cell_value(columns[5]), _get_cell_value(columns[6]), - _get_cell_value(columns[7]), - '{:.2f}'.format(result.get(columns[8], 0)), + '{:.2f}'.format(result.get(columns[7], 0)), + str(round(result.get(columns[8], 0) / (1024 * 1024))), str(round(result.get(columns[9], 0) / (1024 * 1024))), - str(round(result.get(columns[10], 0) / (1024 * 1024))), '{}/{}'.format(_get_cell_value("ROUNDTRIP_A"), _get_cell_value("ROUNDTRIP_B")), ]) @@ -971,7 +949,7 @@ def _run(args, tests): filepath, args.workspace_dirpath, args.surelog_filepath, - args.uhdm_lint_filepath, + args.uhdm_dump_filepath, args.roundtrip_filepath, args.mp, args.mt, @@ -1161,8 +1139,8 @@ def _main(): '--surelog-filepath', dest='surelog_filepath', required=False, default=_default_surelog_filepath, type=str, help='Location, either absolute or relative to build directory, of surelog executable') parser.add_argument( - '--uhdm-lint-filepath', dest='uhdm_lint_filepath', required=False, default=_default_uhdm_lint_filepath, type=str, - help='Location, either absolute or relative to build directory, of uhdm-lint executable') + '--uhdm-dump-filepath', dest='uhdm_dump_filepath', required=False, default=_default_uhdm_dump_filepath, type=str, + help='Location, either absolute or relative to build directory, of uhdm-dump executable') parser.add_argument( '--roundtrip-filepath', dest='roundtrip_filepath', required=False, default=_default_roundtrip_filepath, type=str, help='Location, either absolute or relative to build directory, of roundtrip executable') @@ -1207,14 +1185,14 @@ def _main(): args.surelog_filepath = os.path.join(args.build_dirpath, args.surelog_filepath) args.surelog_filepath = os.path.abspath(args.surelog_filepath) - if not os.path.isabs(args.uhdm_lint_filepath): - args.uhdm_lint_filepath = os.path.join(args.build_dirpath, args.uhdm_lint_filepath) - args.uhdm_lint_filepath = os.path.abspath(args.uhdm_lint_filepath) + if not os.path.isabs(args.uhdm_dump_filepath): + args.uhdm_dump_filepath = os.path.join(args.build_dirpath, args.uhdm_dump_filepath) + args.uhdm_dump_filepath = os.path.abspath(args.uhdm_dump_filepath) - # If there is no uhdm-lint in third_party/ (e.g. due to SURELOG_USE_HOST_UHDM) + # If there is no uhdm-dump in third_party/ (e.g. due to SURELOG_USE_HOST_UHDM) # then get it from the path. - if not os.path.exists(args.uhdm_lint_filepath): - args.uhdm_lint_filepath = shutil.which(_default_uhdm_lint_filename) + if not os.path.exists(args.uhdm_dump_filepath): + args.uhdm_dump_filepath = shutil.which(_default_uhdm_dump_filename) if not os.path.isabs(args.roundtrip_filepath): args.roundtrip_filepath = os.path.join(args.build_dirpath, args.roundtrip_filepath) @@ -1254,7 +1232,7 @@ def _main(): print(f' workspace-dirpath: {args.workspace_dirpath}') print(f' build-dirpath: {args.build_dirpath}') print(f' surelog-filepath: {args.surelog_filepath}') - print(f'uhdm-lint-filepath: {args.uhdm_lint_filepath}') + print(f'uhdm-dump-filepath: {args.uhdm_dump_filepath}') print(f'roundtrip-filepath: {args.roundtrip_filepath}') print(f' test-dirpaths: {"; ".join(args.test_dirpaths)}') print(f' output-dirpath: {args.output_dirpath}') diff --git a/tests/1364_2005/1364_2005.log b/tests/1364_2005/1364_2005.log index 953bdb1ac7..6659eadd3f 100644 --- a/tests/1364_2005/1364_2005.log +++ b/tests/1364_2005/1364_2005.log @@ -510,6 +510,5 @@ design: (work@main) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/1364_2005/dut.v | ${SURELOG_DIR}/build/regression/1364_2005/roundtrip/dut_000.v | 5 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/1364_2005/dut.v | ${SURELOG_DIR}/build/regression/1364_2005/roundtrip/dut_000.v | 5 | 11 | \ No newline at end of file diff --git a/tests/AaFirstTest/AaFirstTest.log b/tests/AaFirstTest/AaFirstTest.log index ad60935337..07003637c2 100644 --- a/tests/AaFirstTest/AaFirstTest.log +++ b/tests/AaFirstTest/AaFirstTest.log @@ -70,7 +70,6 @@ task 9 [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/AaFirstTest/builtin.sv | ${SURELOG_DIR}/build/regression/AaFirstTest/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/AaFirstTest/dut.sv | ${SURELOG_DIR}/build/regression/AaFirstTest/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/AaFirstTest/dut.sv | ${SURELOG_DIR}/build/regression/AaFirstTest/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/AllBinding/AllBinding.log b/tests/AllBinding/AllBinding.log index e23759bacd..9f9fa57dfa 100644 --- a/tests/AllBinding/AllBinding.log +++ b/tests/AllBinding/AllBinding.log @@ -310,7 +310,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/AllBinding/dut.sv | ${SURELOG_DIR}/build/regression/AllBinding/roundtrip/dut_000.sv | 2 | 5 | -[roundtrip]: ${SURELOG_DIR}/tests/AllBinding/enum.sv | ${SURELOG_DIR}/build/regression/AllBinding/roundtrip/enum_000.sv | 0 | 5 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/AllBinding/enum.sv | ${SURELOG_DIR}/build/regression/AllBinding/roundtrip/enum_000.sv | 0 | 5 | \ No newline at end of file diff --git a/tests/AlwaysNoElab/AlwaysNoElab.log b/tests/AlwaysNoElab/AlwaysNoElab.log index 2acdcf1e5f..232c4a2f3f 100644 --- a/tests/AlwaysNoElab/AlwaysNoElab.log +++ b/tests/AlwaysNoElab/AlwaysNoElab.log @@ -1601,7 +1601,6 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/AlwaysNoElab/builtin.sv | ${SURELOG_DIR}/build/regression/AlwaysNoElab/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/AlwaysNoElab/dut.sv | ${SURELOG_DIR}/build/regression/AlwaysNoElab/roundtrip/dut_000.sv | 4 | 12 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/AlwaysNoElab/dut.sv | ${SURELOG_DIR}/build/regression/AlwaysNoElab/roundtrip/dut_000.sv | 4 | 12 | \ No newline at end of file diff --git a/tests/ArianeElab/ArianeElab.log b/tests/ArianeElab/ArianeElab.log index 8f2a1896a2..32e3429cae 100644 --- a/tests/ArianeElab/ArianeElab.log +++ b/tests/ArianeElab/ArianeElab.log @@ -186185,14 +186185,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 8 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ArianeElab/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ ${SURELOG_DIR}/tests/ArianeElab/dut.sv:874:31: -[LINT]: ${SURELOG_DIR}/tests/ArianeElab/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/ArianeElab/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/ArianeElab/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/tests/ArianeElab2/ArianeElab2.log b/tests/ArianeElab2/ArianeElab2.log index 1c9c240913..6fedde86cf 100644 --- a/tests/ArianeElab2/ArianeElab2.log +++ b/tests/ArianeElab2/ArianeElab2.log @@ -199197,14 +199197,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 9 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ArianeElab2/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ ${SURELOG_DIR}/tests/ArianeElab2/dut.sv:874:31: -[LINT]: ${SURELOG_DIR}/tests/ArianeElab2/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/ArianeElab2/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/ArianeElab2/dut.sv:874:16: Unsupported typespec, dm::hartinfo_t -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/tests/ArrayInst/ArrayInst.log b/tests/ArrayInst/ArrayInst.log index 0fcf8a6d41..d353d75016 100644 --- a/tests/ArrayInst/ArrayInst.log +++ b/tests/ArrayInst/ArrayInst.log @@ -980,6 +980,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ArrayInst/dut.sv | ${SURELOG_DIR}/build/regression/ArrayInst/roundtrip/dut_000.sv | 1 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ArrayInst/dut.sv | ${SURELOG_DIR}/build/regression/ArrayInst/roundtrip/dut_000.sv | 1 | 10 | \ No newline at end of file diff --git a/tests/ArrayMethodIterator/ArrayMethodIterator.log b/tests/ArrayMethodIterator/ArrayMethodIterator.log index 718236405d..044d027bc5 100644 --- a/tests/ArrayMethodIterator/ArrayMethodIterator.log +++ b/tests/ArrayMethodIterator/ArrayMethodIterator.log @@ -835,13 +835,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ArrayMethodIterator/dut.sv:6:3: Non synthesizable construct, uvm_callback -[LINT]: ${SURELOG_DIR}/tests/ArrayMethodIterator/dut.sv:3:3: Non synthesizable construct, uvm_object -[LINT]: ${SURELOG_DIR}/tests/ArrayMethodIterator/dut.sv:19:52: Non synthesizable construct, unique -[LINT]: ${SURELOG_DIR}/tests/ArrayMethodIterator/dut.sv:10:1: Non synthesizable construct, uvm_callbacks -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ArrayMethodIterator/dut.sv | ${SURELOG_DIR}/build/regression/ArrayMethodIterator/roundtrip/dut_000.sv | 14 | 31 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ArrayMethodIterator/dut.sv | ${SURELOG_DIR}/build/regression/ArrayMethodIterator/roundtrip/dut_000.sv | 14 | 31 | \ No newline at end of file diff --git a/tests/ArrayNet/ArrayNet.log b/tests/ArrayNet/ArrayNet.log index 0622fcfce9..54a1fafd79 100644 --- a/tests/ArrayNet/ArrayNet.log +++ b/tests/ArrayNet/ArrayNet.log @@ -311,6 +311,5 @@ design: (work@memory) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ArrayNet/dut.sv | ${SURELOG_DIR}/build/regression/ArrayNet/roundtrip/dut_000.sv | 1 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ArrayNet/dut.sv | ${SURELOG_DIR}/build/regression/ArrayNet/roundtrip/dut_000.sv | 1 | 7 | \ No newline at end of file diff --git a/tests/ArrayTypespec/ArrayTypespec.log b/tests/ArrayTypespec/ArrayTypespec.log index c47f2a1e6d..537825e091 100644 --- a/tests/ArrayTypespec/ArrayTypespec.log +++ b/tests/ArrayTypespec/ArrayTypespec.log @@ -381,6 +381,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ArrayTypespec/dut.sv | ${SURELOG_DIR}/build/regression/ArrayTypespec/roundtrip/dut_000.sv | 0 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ArrayTypespec/dut.sv | ${SURELOG_DIR}/build/regression/ArrayTypespec/roundtrip/dut_000.sv | 0 | 3 | \ No newline at end of file diff --git a/tests/Assert/Assert.log b/tests/Assert/Assert.log index 170660decb..f7bf9af33c 100644 --- a/tests/Assert/Assert.log +++ b/tests/Assert/Assert.log @@ -178,11 +178,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Assert/dut.sv:4:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Assert/dut.sv:4:19: Non synthesizable construct, -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Assert/dut.sv | ${SURELOG_DIR}/build/regression/Assert/roundtrip/dut_000.sv | 1 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Assert/dut.sv | ${SURELOG_DIR}/build/regression/Assert/roundtrip/dut_000.sv | 1 | 7 | \ No newline at end of file diff --git a/tests/Assertions/Assertions.log b/tests/Assertions/Assertions.log index a0b5ad7a6e..7dc5521871 100644 --- a/tests/Assertions/Assertions.log +++ b/tests/Assertions/Assertions.log @@ -1028,11 +1028,5 @@ design: (work@m) [WARNING] : 3 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Assertions/dut.sv:12:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Assertions/dut.sv:12:22: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Assertions/dut.sv | ${SURELOG_DIR}/build/regression/Assertions/roundtrip/dut_000.sv | 10 | 13 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Assertions/dut.sv | ${SURELOG_DIR}/build/regression/Assertions/roundtrip/dut_000.sv | 10 | 13 | \ No newline at end of file diff --git a/tests/AssignPattern/AssignPattern.log b/tests/AssignPattern/AssignPattern.log index 9f3c4a18ba..33753e8f5d 100644 --- a/tests/AssignPattern/AssignPattern.log +++ b/tests/AssignPattern/AssignPattern.log @@ -272,6 +272,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/AssignPattern/dut.sv | ${SURELOG_DIR}/build/regression/AssignPattern/roundtrip/dut_000.sv | 1 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/AssignPattern/dut.sv | ${SURELOG_DIR}/build/regression/AssignPattern/roundtrip/dut_000.sv | 1 | 4 | \ No newline at end of file diff --git a/tests/AssignPatternArray/AssignPatternArray.log b/tests/AssignPatternArray/AssignPatternArray.log index bd498d5c2e..4c774f38b2 100644 --- a/tests/AssignPatternArray/AssignPatternArray.log +++ b/tests/AssignPatternArray/AssignPatternArray.log @@ -6164,6 +6164,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/AssignPatternArray/dut.sv | ${SURELOG_DIR}/build/regression/AssignPatternArray/roundtrip/dut_000.sv | 15 | 50 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/AssignPatternArray/dut.sv | ${SURELOG_DIR}/build/regression/AssignPatternArray/roundtrip/dut_000.sv | 15 | 50 | \ No newline at end of file diff --git a/tests/AssignSubs/AssignSubs.log b/tests/AssignSubs/AssignSubs.log index 8b5c5e1fc1..24735fba81 100644 --- a/tests/AssignSubs/AssignSubs.log +++ b/tests/AssignSubs/AssignSubs.log @@ -808,6 +808,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/AssignSubs/dut.sv | ${SURELOG_DIR}/build/regression/AssignSubs/roundtrip/dut_000.sv | 4 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/AssignSubs/dut.sv | ${SURELOG_DIR}/build/regression/AssignSubs/roundtrip/dut_000.sv | 4 | 19 | \ No newline at end of file diff --git a/tests/AssignmentPatternInAssignmentPattern/AssignmentPatternInAssignmentPattern.log b/tests/AssignmentPatternInAssignmentPattern/AssignmentPatternInAssignmentPattern.log index 663b425f91..5e2454ed22 100644 --- a/tests/AssignmentPatternInAssignmentPattern/AssignmentPatternInAssignmentPattern.log +++ b/tests/AssignmentPatternInAssignmentPattern/AssignmentPatternInAssignmentPattern.log @@ -4998,6 +4998,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/AssignmentPatternInAssignmentPattern/dut.sv | ${SURELOG_DIR}/build/regression/AssignmentPatternInAssignmentPattern/roundtrip/dut_000.sv | 10 | 44 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/AssignmentPatternInAssignmentPattern/dut.sv | ${SURELOG_DIR}/build/regression/AssignmentPatternInAssignmentPattern/roundtrip/dut_000.sv | 10 | 44 | \ No newline at end of file diff --git a/tests/Assignments/Assignments.log b/tests/Assignments/Assignments.log index fe10942827..66dbdb5cd2 100644 --- a/tests/Assignments/Assignments.log +++ b/tests/Assignments/Assignments.log @@ -2463,19 +2463,3 @@ design: (work@dut) [ ERROR] : 3 [WARNING] : 1 [ NOTE] : 6 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:17:5: Illegal wire LHS, a -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:18:5: Illegal wire LHS, nba -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:19:5: Illegal wire LHS, bb -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:6:33: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:6:18: Non synthesizable construct, new -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:7:21: Non synthesizable construct, new -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:17:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:19:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:6:33: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:6:18: Non synthesizable construct, new -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:7:21: Non synthesizable construct, new -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:17:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Assignments/dut.sv:19:10: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/AssociativeArray/AssociativeArray.log b/tests/AssociativeArray/AssociativeArray.log index a4b4a4a7da..203fd7d419 100644 --- a/tests/AssociativeArray/AssociativeArray.log +++ b/tests/AssociativeArray/AssociativeArray.log @@ -403,6 +403,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/AssociativeArray/dut.sv | ${SURELOG_DIR}/build/regression/AssociativeArray/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/AssociativeArray/dut.sv | ${SURELOG_DIR}/build/regression/AssociativeArray/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/AssumeProp/AssumeProp.log b/tests/AssumeProp/AssumeProp.log index 6d9414ed4c..24f6bb7af9 100644 --- a/tests/AssumeProp/AssumeProp.log +++ b/tests/AssumeProp/AssumeProp.log @@ -382,8 +382,3 @@ design: (work@dut) [ ERROR] : 1 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/AssumeProp/dut.sv:4:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/AssumeProp/dut.sv:4:33: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/Attributes/Attributes.log b/tests/Attributes/Attributes.log index 6aa632fc3f..1d62bd9909 100644 --- a/tests/Attributes/Attributes.log +++ b/tests/Attributes/Attributes.log @@ -3228,31 +3228,7 @@ design: (work@foo) [WARNING] : 8 [ NOTE] : 7 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Attributes/dut.sv:31:9: Illegal wire LHS, b -[LINT]: ${SURELOG_DIR}/tests/Attributes/dut.sv:33:9: Illegal wire LHS, b -[LINT]: ${SURELOG_DIR}/tests/Attributes/dut.sv:35:9: Illegal wire LHS, b -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:45:25: Non synthesizable construct, tata -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:42:23: Non synthesizable construct, work@toto -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:12:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:13:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:14:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:15:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:16:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:17:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:18:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:19:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:20:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:21:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:22:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:23:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:24:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:25:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv:7:21: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/Attributes/builtin.sv | ${SURELOG_DIR}/build/regression/Attributes/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/Attributes/dut.sv | ${SURELOG_DIR}/build/regression/Attributes/roundtrip/dut_000.sv | 11 | 41 | -[roundtrip]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv | ${SURELOG_DIR}/build/regression/Attributes/roundtrip/test_attributes_000.sv | 7 | 57 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Attributes/test_attributes.sv | ${SURELOG_DIR}/build/regression/Attributes/roundtrip/test_attributes_000.sv | 7 | 57 | \ No newline at end of file diff --git a/tests/Attributes2/Attributes2.log b/tests/Attributes2/Attributes2.log index 851d78f46f..eab481d8bd 100644 --- a/tests/Attributes2/Attributes2.log +++ b/tests/Attributes2/Attributes2.log @@ -1337,7 +1337,6 @@ design: (work@foo) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Attributes2/builtin.sv | ${SURELOG_DIR}/build/regression/Attributes2/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Attributes2/dut.sv | ${SURELOG_DIR}/build/regression/Attributes2/roundtrip/dut_000.sv | 3 | 12 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Attributes2/dut.sv | ${SURELOG_DIR}/build/regression/Attributes2/roundtrip/dut_000.sv | 3 | 12 | \ No newline at end of file diff --git a/tests/BadLabel/BadLabel.log b/tests/BadLabel/BadLabel.log index 0744684487..c4d73138b2 100644 --- a/tests/BadLabel/BadLabel.log +++ b/tests/BadLabel/BadLabel.log @@ -889,18 +889,5 @@ design: (work@test) [WARNING] : 9 [ NOTE] : 7 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:4:1: Non synthesizable construct, work@c -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:63:17: Non synthesizable construct, name1 -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:42:4: Non synthesizable construct, n1 -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:46:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:50:3: Non synthesizable construct, n1 -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:42:4: Non synthesizable construct, n1 -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:46:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:50:3: Non synthesizable construct, n1 -[LINT]: ${SURELOG_DIR}/tests/BadLabel/dut.sv:63:17: Non synthesizable construct, name1 -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BadLabel/dut.sv | ${SURELOG_DIR}/build/regression/BadLabel/roundtrip/dut_000.sv | 19 | 66 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BadLabel/dut.sv | ${SURELOG_DIR}/build/regression/BadLabel/roundtrip/dut_000.sv | 19 | 66 | \ No newline at end of file diff --git a/tests/BadScope/BadScope.log b/tests/BadScope/BadScope.log index 2452d9cfdb..c6c487f62a 100644 --- a/tests/BadScope/BadScope.log +++ b/tests/BadScope/BadScope.log @@ -763,6 +763,5 @@ design: (work@top3) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BadScope/dut.sv | ${SURELOG_DIR}/build/regression/BadScope/roundtrip/dut_000.sv | 7 | 26 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BadScope/dut.sv | ${SURELOG_DIR}/build/regression/BadScope/roundtrip/dut_000.sv | 7 | 26 | \ No newline at end of file diff --git a/tests/BeginKeywords/BeginKeywords.log b/tests/BeginKeywords/BeginKeywords.log index 7ecf482a5b..1e18c68d16 100644 --- a/tests/BeginKeywords/BeginKeywords.log +++ b/tests/BeginKeywords/BeginKeywords.log @@ -64,6 +64,5 @@ ref_typespec 1 [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BeginKeywords/top.v | ${SURELOG_DIR}/build/regression/BeginKeywords/roundtrip/top_000.v | 8 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BeginKeywords/top.v | ${SURELOG_DIR}/build/regression/BeginKeywords/roundtrip/top_000.v | 8 | 10 | \ No newline at end of file diff --git a/tests/BinarySize/BinarySize.log b/tests/BinarySize/BinarySize.log index ee5fc7ad56..d7c72b9fc8 100644 --- a/tests/BinarySize/BinarySize.log +++ b/tests/BinarySize/BinarySize.log @@ -573,6 +573,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BinarySize/dut.sv | ${SURELOG_DIR}/build/regression/BinarySize/roundtrip/dut_000.sv | 1 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BinarySize/dut.sv | ${SURELOG_DIR}/build/regression/BinarySize/roundtrip/dut_000.sv | 1 | 13 | \ No newline at end of file diff --git a/tests/BindMethod/BindMethod.log b/tests/BindMethod/BindMethod.log index c950f47523..ec734e07b8 100644 --- a/tests/BindMethod/BindMethod.log +++ b/tests/BindMethod/BindMethod.log @@ -551,14 +551,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BindMethod/dut.sv:9:1: Non synthesizable construct, uvm_vreg_field_cbs -[LINT]: ${SURELOG_DIR}/tests/BindMethod/dut.sv:20:19: Non synthesizable construct, first -[LINT]: ${SURELOG_DIR}/tests/BindMethod/dut.sv:20:19: Non synthesizable construct, first -[LINT]: ${SURELOG_DIR}/tests/BindMethod/dut.sv:14:1: Non synthesizable construct, uvm_vreg -[LINT]: ${SURELOG_DIR}/tests/BindMethod/dut.sv:4:1: Non synthesizable construct, uvm_vreg_field_cb_iter -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BindMethod/dut.sv | ${SURELOG_DIR}/build/regression/BindMethod/roundtrip/dut_000.sv | 12 | 23 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/BindMethod/dut.sv | ${SURELOG_DIR}/build/regression/BindMethod/roundtrip/dut_000.sv | 12 | 23 | \ No newline at end of file diff --git a/tests/BindStmt2/BindStmt2.log b/tests/BindStmt2/BindStmt2.log index a28db093b5..b3b78238e3 100644 --- a/tests/BindStmt2/BindStmt2.log +++ b/tests/BindStmt2/BindStmt2.log @@ -3543,16 +3543,5 @@ design: (work@rv_dm) [WARNING] : 3 [ NOTE] : 7 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:8:10: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:17:10: Unsupported typespec, tlul_pkg::tl_h2d_t -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:18:10: Unsupported typespec, tlul_pkg::tl_d2h_t -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:21:10: Unsupported typespec, tlul_pkg::tl_h2d_t -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:22:10: Unsupported typespec, tlul_pkg::tl_d2h_t -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:24:10: Unsupported typespec, jtag_pkg::jtag_req_t -[LINT]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv:25:10: Unsupported typespec, jtag_pkg::jtag_rsp_t -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv | ${SURELOG_DIR}/build/regression/BindStmt2/roundtrip/dut_000.sv | 52 | 71 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv | ${SURELOG_DIR}/build/regression/BindStmt2/roundtrip/dut_000.sv | 52 | 71 | \ No newline at end of file diff --git a/tests/BindVarsAndEnum/BindVarsAndEnum.log b/tests/BindVarsAndEnum/BindVarsAndEnum.log index 899f16909f..47a0e17aa1 100644 --- a/tests/BindVarsAndEnum/BindVarsAndEnum.log +++ b/tests/BindVarsAndEnum/BindVarsAndEnum.log @@ -1805,12 +1805,6 @@ design: (work@conditional_Fsm) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BindVarsAndEnum/dut.sv:11:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/BindVarsAndEnum/dut.sv:11:10: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/BindVarsAndEnum/builtin.sv | ${SURELOG_DIR}/build/regression/BindVarsAndEnum/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/BindVarsAndEnum/dut.sv | ${SURELOG_DIR}/build/regression/BindVarsAndEnum/roundtrip/dut_000.sv | 6 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/BindVarsAndEnum/dut.sv | ${SURELOG_DIR}/build/regression/BindVarsAndEnum/roundtrip/dut_000.sv | 6 | 18 | \ No newline at end of file diff --git a/tests/Bindings/Bindings.log b/tests/Bindings/Bindings.log index 9d1b7cf9bb..f06fd6eb66 100644 --- a/tests/Bindings/Bindings.log +++ b/tests/Bindings/Bindings.log @@ -5676,10 +5676,3 @@ design: (work@dut1) [ ERROR] : 2 [WARNING] : 6 [ NOTE] : 10 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Bindings/dut.sv:75:11: Illegal wire LHS, data_r -[LINT]: ${SURELOG_DIR}/tests/Bindings/dut.sv:77:11: Illegal wire LHS, data_r -[LINT]: ${SURELOG_DIR}/tests/Bindings/dut.sv:75:11: Illegal wire LHS, data_r -[LINT]: ${SURELOG_DIR}/tests/Bindings/dut.sv:77:11: Illegal wire LHS, data_r -============================== End Linting Results ============================== diff --git a/tests/BitPartSelect/BitPartSelect.log b/tests/BitPartSelect/BitPartSelect.log index dae954cbfa..c07ec3bd97 100644 --- a/tests/BitPartSelect/BitPartSelect.log +++ b/tests/BitPartSelect/BitPartSelect.log @@ -931,6 +931,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitPartSelect/dut.sv | ${SURELOG_DIR}/build/regression/BitPartSelect/roundtrip/dut_000.sv | 1 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BitPartSelect/dut.sv | ${SURELOG_DIR}/build/regression/BitPartSelect/roundtrip/dut_000.sv | 1 | 10 | \ No newline at end of file diff --git a/tests/BitRanges/BitRanges.log b/tests/BitRanges/BitRanges.log index 9862b9c8a8..af11235741 100644 --- a/tests/BitRanges/BitRanges.log +++ b/tests/BitRanges/BitRanges.log @@ -471,6 +471,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitRanges/dut.sv | ${SURELOG_DIR}/build/regression/BitRanges/roundtrip/dut_000.sv | 5 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BitRanges/dut.sv | ${SURELOG_DIR}/build/regression/BitRanges/roundtrip/dut_000.sv | 5 | 6 | \ No newline at end of file diff --git a/tests/BitSelect/BitSelect.log b/tests/BitSelect/BitSelect.log index d4c6125863..abcf9475ac 100644 --- a/tests/BitSelect/BitSelect.log +++ b/tests/BitSelect/BitSelect.log @@ -1043,6 +1043,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitSelect/dut.sv | ${SURELOG_DIR}/build/regression/BitSelect/roundtrip/dut_000.sv | 8 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BitSelect/dut.sv | ${SURELOG_DIR}/build/regression/BitSelect/roundtrip/dut_000.sv | 8 | 15 | \ No newline at end of file diff --git a/tests/BitSelectExpr/BitSelectExpr.log b/tests/BitSelectExpr/BitSelectExpr.log index 8e0c64eea5..c1b5ea371a 100644 --- a/tests/BitSelectExpr/BitSelectExpr.log +++ b/tests/BitSelectExpr/BitSelectExpr.log @@ -499,6 +499,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitSelectExpr/dut.sv | ${SURELOG_DIR}/build/regression/BitSelectExpr/roundtrip/dut_000.sv | 4 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BitSelectExpr/dut.sv | ${SURELOG_DIR}/build/regression/BitSelectExpr/roundtrip/dut_000.sv | 4 | 12 | \ No newline at end of file diff --git a/tests/BitSelectHier/BitSelectHier.log b/tests/BitSelectHier/BitSelectHier.log index 546fa651be..72fc45de2c 100644 --- a/tests/BitSelectHier/BitSelectHier.log +++ b/tests/BitSelectHier/BitSelectHier.log @@ -926,15 +926,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv:3:1: Non synthesizable construct, uvm_mem_region -[LINT]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv:34:1: Non synthesizable construct, uvm_mem_mam -[LINT]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv:37:17: Unsupported typespec, uvm_mem_mam_cfg -[LINT]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv:37:45: Unsupported typespec, uvm_mem_mam_cfg -[LINT]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv:41:10: Unsupported typespec, uvm_mem_mam_cfg -[LINT]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv:41:51: Unsupported typespec, uvm_mem_mam_cfg -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv | ${SURELOG_DIR}/build/regression/BitSelectHier/roundtrip/dut_000.sv | 19 | 47 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/BitSelectHier/dut.sv | ${SURELOG_DIR}/build/regression/BitSelectHier/roundtrip/dut_000.sv | 19 | 47 | \ No newline at end of file diff --git a/tests/BitSelectSelect/BitSelectSelect.log b/tests/BitSelectSelect/BitSelectSelect.log index d106887afa..64bde183e3 100644 --- a/tests/BitSelectSelect/BitSelectSelect.log +++ b/tests/BitSelectSelect/BitSelectSelect.log @@ -578,6 +578,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitSelectSelect/dut.sv | ${SURELOG_DIR}/build/regression/BitSelectSelect/roundtrip/dut_000.sv | 4 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BitSelectSelect/dut.sv | ${SURELOG_DIR}/build/regression/BitSelectSelect/roundtrip/dut_000.sv | 4 | 9 | \ No newline at end of file diff --git a/tests/BitsHierPath/BitsHierPath.log b/tests/BitsHierPath/BitsHierPath.log index fde11cf282..a61aca34e1 100644 --- a/tests/BitsHierPath/BitsHierPath.log +++ b/tests/BitsHierPath/BitsHierPath.log @@ -935,6 +935,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BitsHierPath/dut.sv | ${SURELOG_DIR}/build/regression/BitsHierPath/roundtrip/dut_000.sv | 8 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BitsHierPath/dut.sv | ${SURELOG_DIR}/build/regression/BitsHierPath/roundtrip/dut_000.sv | 8 | 17 | \ No newline at end of file diff --git a/tests/BlackBox/BlackBox.log b/tests/BlackBox/BlackBox.log index 5cc5b45bf2..aea7092b88 100644 --- a/tests/BlackBox/BlackBox.log +++ b/tests/BlackBox/BlackBox.log @@ -481,6 +481,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBox/roundtrip/dut_000.sv | 10 | 34 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBox/roundtrip/dut_000.sv | 10 | 34 | \ No newline at end of file diff --git a/tests/BlackBox/BlackBoxInst.log b/tests/BlackBox/BlackBoxInst.log index 4f12844e27..0b77be0003 100644 --- a/tests/BlackBox/BlackBoxInst.log +++ b/tests/BlackBox/BlackBoxInst.log @@ -406,6 +406,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxInst/roundtrip/dut_000.sv | 10 | 34 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxInst/roundtrip/dut_000.sv | 10 | 34 | \ No newline at end of file diff --git a/tests/BlackBox/BlackBoxInstTop.log b/tests/BlackBox/BlackBoxInstTop.log index 39f0e55ed9..1928ed21fc 100644 --- a/tests/BlackBox/BlackBoxInstTop.log +++ b/tests/BlackBox/BlackBoxInstTop.log @@ -246,6 +246,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxInstTop/roundtrip/dut_000.sv | 10 | 34 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxInstTop/roundtrip/dut_000.sv | 10 | 34 | \ No newline at end of file diff --git a/tests/BlackBox/BlackBoxMod.log b/tests/BlackBox/BlackBoxMod.log index ea3ee3ca23..771e22083c 100644 --- a/tests/BlackBox/BlackBoxMod.log +++ b/tests/BlackBox/BlackBoxMod.log @@ -246,6 +246,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxMod/roundtrip/dut_000.sv | 10 | 34 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxMod/roundtrip/dut_000.sv | 10 | 34 | \ No newline at end of file diff --git a/tests/BlackBox/BlackBoxSubMod.log b/tests/BlackBox/BlackBoxSubMod.log index a97659a6e5..590d4a2e8d 100644 --- a/tests/BlackBox/BlackBoxSubMod.log +++ b/tests/BlackBox/BlackBoxSubMod.log @@ -355,6 +355,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxSubMod/roundtrip/dut_000.sv | 10 | 34 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BlackBox/dut.sv | ${SURELOG_DIR}/build/regression/BlackBoxSubMod/roundtrip/dut_000.sv | 10 | 34 | \ No newline at end of file diff --git a/tests/BlackParrotConf/BlackParrotConf.log b/tests/BlackParrotConf/BlackParrotConf.log index 27d037ce65..fc2bc10e8a 100644 --- a/tests/BlackParrotConf/BlackParrotConf.log +++ b/tests/BlackParrotConf/BlackParrotConf.log @@ -187350,33 +187350,3 @@ design: (work@testbench) [ ERROR] : 0 [WARNING] : 10 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:6035:17: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:6046:9: Non synthesizable construct, $fdisplay -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:6056:9: Non synthesizable construct, $fdisplay -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:6065:9: Non synthesizable construct, $fdisplay -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:6074:9: Non synthesizable construct, $fdisplay -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5614:3: Unsupported typespec, bp_bedrock_msg_size_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5614:44: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5622:3: Unsupported typespec, bp_bedrock_cmd_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5622:44: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5624:3: Unsupported typespec, bp_bedrock_mem_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5624:44: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5500:5: Unsupported typespec, bp_bedrock_cmd_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5500:35: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5501:5: Unsupported typespec, bp_bedrock_mem_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5501:35: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:6092:14: Unsupported typespec, bp_params_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5614:3: Unsupported typespec, bp_bedrock_msg_size_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5614:44: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5622:3: Unsupported typespec, bp_bedrock_cmd_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5622:44: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5624:3: Unsupported typespec, bp_bedrock_mem_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5624:44: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5500:5: Unsupported typespec, bp_bedrock_cmd_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5500:35: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5501:5: Unsupported typespec, bp_bedrock_mem_type_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotConf/dut.sv:5501:35: -============================== End Linting Results ============================== diff --git a/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log b/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log index dff316627b..a2d5f90508 100644 --- a/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log +++ b/tests/BlackParrotMuteErrors/BlackParrotMuteErrors.log @@ -274492,312 +274492,3 @@ design: (work@otp_ctrl) [ ERROR] : 0 [WARNING] : 6 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:37: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:37: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:601:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:601:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:602:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:602:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:613:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:613:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:618:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:618:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:601:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:601:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:602:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:602:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:613:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:613:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:618:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:618:29: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:32: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:575:5: Unsupported typespec, lc_ctrl_pkg::lc_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:576:5: Unsupported typespec, lc_ctrl_pkg::lc_cnt_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:578:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:579:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:580:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:581:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:582:5: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:583:5: Unsupported typespec, lc_ctrl_pkg::lc_id_state_e -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/tests/BlackParrotMuteErrors/dut.sv:763:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/tests/BlackboxMissingDef/BlackboxMissingDef.log b/tests/BlackboxMissingDef/BlackboxMissingDef.log index f0bfbf0478..ac74f37365 100644 --- a/tests/BlackboxMissingDef/BlackboxMissingDef.log +++ b/tests/BlackboxMissingDef/BlackboxMissingDef.log @@ -419,6 +419,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BlackboxMissingDef/dut.sv | ${SURELOG_DIR}/build/regression/BlackboxMissingDef/roundtrip/dut_000.sv | 4 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/BlackboxMissingDef/dut.sv | ${SURELOG_DIR}/build/regression/BlackboxMissingDef/roundtrip/dut_000.sv | 4 | 5 | \ No newline at end of file diff --git a/tests/BuiltInMethod/BuiltInMethod.log b/tests/BuiltInMethod/BuiltInMethod.log index 32b2ffdb41..1f5b3c8d08 100644 --- a/tests/BuiltInMethod/BuiltInMethod.log +++ b/tests/BuiltInMethod/BuiltInMethod.log @@ -499,13 +499,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/BuiltInMethod/dut.sv:3:16: Non synthesizable construct, and -[LINT]: ${SURELOG_DIR}/tests/BuiltInMethod/dut.sv:4:16: Non synthesizable construct, or -[LINT]: ${SURELOG_DIR}/tests/BuiltInMethod/dut.sv:5:16: Non synthesizable construct, xor -[LINT]: ${SURELOG_DIR}/tests/BuiltInMethod/dut.sv:6:16: Non synthesizable construct, unique -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BuiltInMethod/dut.sv | ${SURELOG_DIR}/build/regression/BuiltInMethod/roundtrip/dut_000.sv | 5 | 7 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/BuiltInMethod/dut.sv | ${SURELOG_DIR}/build/regression/BuiltInMethod/roundtrip/dut_000.sv | 5 | 7 | \ No newline at end of file diff --git a/tests/CarryTrans/CarryTrans.log b/tests/CarryTrans/CarryTrans.log index 19430e16a6..3e9b5bab38 100644 --- a/tests/CarryTrans/CarryTrans.log +++ b/tests/CarryTrans/CarryTrans.log @@ -3995,22 +3995,6 @@ design: (work@carry_rtl) [WARNING] : 3 [ NOTE] : 11 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:25:9: Non synthesizable construct, n1 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:26:9: Non synthesizable construct, n2 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:27:9: Non synthesizable construct, n3 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:28:9: Non synthesizable construct, n4 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:29:9: Non synthesizable construct, n5 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:30:9: Non synthesizable construct, p1 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:31:9: Non synthesizable construct, p2 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:32:9: Non synthesizable construct, p3 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:33:9: Non synthesizable construct, p4 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:34:9: Non synthesizable construct, p5 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:35:9: Non synthesizable construct, n6 -[LINT]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv:36:9: Non synthesizable construct, p6 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/CarryTrans/builtin.sv | ${SURELOG_DIR}/build/regression/CarryTrans/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv | ${SURELOG_DIR}/build/regression/CarryTrans/roundtrip/dut_000.sv | 22 | 37 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CarryTrans/dut.sv | ${SURELOG_DIR}/build/regression/CarryTrans/roundtrip/dut_000.sv | 22 | 37 | \ No newline at end of file diff --git a/tests/CaseExpression/CaseExpression.log b/tests/CaseExpression/CaseExpression.log index ae7a2be201..bb04f11c29 100644 --- a/tests/CaseExpression/CaseExpression.log +++ b/tests/CaseExpression/CaseExpression.log @@ -4025,6 +4025,5 @@ design: (work@case_expr_non_const_top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CaseExpression/dut.sv | ${SURELOG_DIR}/build/regression/CaseExpression/roundtrip/dut_000.sv | 11 | 59 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CaseExpression/dut.sv | ${SURELOG_DIR}/build/regression/CaseExpression/roundtrip/dut_000.sv | 11 | 59 | \ No newline at end of file diff --git a/tests/CaseInside/CaseInside.log b/tests/CaseInside/CaseInside.log index fd36350419..7015cfb93a 100644 --- a/tests/CaseInside/CaseInside.log +++ b/tests/CaseInside/CaseInside.log @@ -1665,12 +1665,6 @@ design: (work@dm_csrs) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CaseInside/top.sv:19:11: Illegal wire LHS, resp_queue_data -[LINT]: ${SURELOG_DIR}/tests/CaseInside/top.sv:23:27: Illegal wire LHS, resp_queue_data -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/CaseInside/builtin.sv | ${SURELOG_DIR}/build/regression/CaseInside/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CaseInside/top.sv | ${SURELOG_DIR}/build/regression/CaseInside/roundtrip/top_000.sv | 7 | 29 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CaseInside/top.sv | ${SURELOG_DIR}/build/regression/CaseInside/roundtrip/top_000.sv | 7 | 29 | \ No newline at end of file diff --git a/tests/CastEnum/CastEnum.log b/tests/CastEnum/CastEnum.log index e527696cbc..f8a1fa49f1 100644 --- a/tests/CastEnum/CastEnum.log +++ b/tests/CastEnum/CastEnum.log @@ -1514,7 +1514,6 @@ design: (work@dm_csrs) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/CastEnum/builtin.sv | ${SURELOG_DIR}/build/regression/CastEnum/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CastEnum/dut.sv | ${SURELOG_DIR}/build/regression/CastEnum/roundtrip/dut_000.sv | 2 | 17 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CastEnum/dut.sv | ${SURELOG_DIR}/build/regression/CastEnum/roundtrip/dut_000.sv | 2 | 17 | \ No newline at end of file diff --git a/tests/CastPartSelect/CastPartSelect.log b/tests/CastPartSelect/CastPartSelect.log index 09847ea73f..c066024992 100644 --- a/tests/CastPartSelect/CastPartSelect.log +++ b/tests/CastPartSelect/CastPartSelect.log @@ -1478,11 +1478,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CastPartSelect/dut.sv:6:9: Illegal wire LHS, csr_pipe_flush -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/CastPartSelect/builtin.sv | ${SURELOG_DIR}/build/regression/CastPartSelect/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CastPartSelect/dut.sv | ${SURELOG_DIR}/build/regression/CastPartSelect/roundtrip/dut_000.sv | 4 | 10 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CastPartSelect/dut.sv | ${SURELOG_DIR}/build/regression/CastPartSelect/roundtrip/dut_000.sv | 4 | 10 | \ No newline at end of file diff --git a/tests/CastShift/CastShift.log b/tests/CastShift/CastShift.log index ed19575001..afbcbf2376 100644 --- a/tests/CastShift/CastShift.log +++ b/tests/CastShift/CastShift.log @@ -2191,7 +2191,6 @@ design: (work@dut) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/CastShift/builtin.sv | ${SURELOG_DIR}/build/regression/CastShift/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CastShift/dut.sv | ${SURELOG_DIR}/build/regression/CastShift/roundtrip/dut_000.sv | 16 | 23 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CastShift/dut.sv | ${SURELOG_DIR}/build/regression/CastShift/roundtrip/dut_000.sv | 16 | 23 | \ No newline at end of file diff --git a/tests/CastStructMember/CastStructMember.log b/tests/CastStructMember/CastStructMember.log index 62ecd0046b..72e4eac036 100644 --- a/tests/CastStructMember/CastStructMember.log +++ b/tests/CastStructMember/CastStructMember.log @@ -621,6 +621,5 @@ design: (work@Foo) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CastStructMember/dut.sv | ${SURELOG_DIR}/build/regression/CastStructMember/roundtrip/dut_000.sv | 3 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CastStructMember/dut.sv | ${SURELOG_DIR}/build/regression/CastStructMember/roundtrip/dut_000.sv | 3 | 10 | \ No newline at end of file diff --git a/tests/CastToParam/CastToParam.log b/tests/CastToParam/CastToParam.log index 8de12745c8..bfd231b6e2 100644 --- a/tests/CastToParam/CastToParam.log +++ b/tests/CastToParam/CastToParam.log @@ -453,6 +453,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CastToParam/dut.sv | ${SURELOG_DIR}/build/regression/CastToParam/roundtrip/dut_000.sv | 6 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CastToParam/dut.sv | ${SURELOG_DIR}/build/regression/CastToParam/roundtrip/dut_000.sv | 6 | 11 | \ No newline at end of file diff --git a/tests/CastTypespec/CastTypespec.log b/tests/CastTypespec/CastTypespec.log index 3453327bc4..f61d4e2b53 100644 --- a/tests/CastTypespec/CastTypespec.log +++ b/tests/CastTypespec/CastTypespec.log @@ -3227,7 +3227,3 @@ design: (work@tlul_adapter_host) [ ERROR] : 2 [WARNING] : 3 [ NOTE] : 7 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CastTypespec/dut.sv:38:7: Illegal wire LHS, resumereq_o -============================== End Linting Results ============================== diff --git a/tests/CastUnsigned/CastUnsigned.log b/tests/CastUnsigned/CastUnsigned.log index 31763f7d75..d2406664da 100644 --- a/tests/CastUnsigned/CastUnsigned.log +++ b/tests/CastUnsigned/CastUnsigned.log @@ -1642,7 +1642,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/CastUnsigned/builtin.sv | ${SURELOG_DIR}/build/regression/CastUnsigned/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CastUnsigned/dut.sv | ${SURELOG_DIR}/build/regression/CastUnsigned/roundtrip/dut_000.sv | 6 | 17 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CastUnsigned/dut.sv | ${SURELOG_DIR}/build/regression/CastUnsigned/roundtrip/dut_000.sv | 6 | 17 | \ No newline at end of file diff --git a/tests/Cell/Cell.log b/tests/Cell/Cell.log index 3f2e7e25dd..59fc368215 100644 --- a/tests/Cell/Cell.log +++ b/tests/Cell/Cell.log @@ -1281,7 +1281,6 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Cell/builtin.sv | ${SURELOG_DIR}/build/regression/Cell/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Cell/dut.sv | ${SURELOG_DIR}/build/regression/Cell/roundtrip/dut_000.sv | 2 | 4 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Cell/dut.sv | ${SURELOG_DIR}/build/regression/Cell/roundtrip/dut_000.sv | 2 | 4 | \ No newline at end of file diff --git a/tests/Chandle/Chandle.log b/tests/Chandle/Chandle.log index 0a9963d4c5..219b2c0912 100644 --- a/tests/Chandle/Chandle.log +++ b/tests/Chandle/Chandle.log @@ -117,11 +117,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Chandle/dut.sv:2:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Chandle/dut.sv:2:12: Non synthesizable construct, c -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Chandle/dut.sv | ${SURELOG_DIR}/build/regression/Chandle/roundtrip/dut_000.sv | 1 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Chandle/dut.sv | ${SURELOG_DIR}/build/regression/Chandle/roundtrip/dut_000.sv | 1 | 3 | \ No newline at end of file diff --git a/tests/CheckerInst/CheckerInst.log b/tests/CheckerInst/CheckerInst.log index c29203d5c8..d74bf86399 100644 --- a/tests/CheckerInst/CheckerInst.log +++ b/tests/CheckerInst/CheckerInst.log @@ -539,13 +539,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CheckerInst/dut.sv:13:3: Non synthesizable construct, check -[LINT]: ${SURELOG_DIR}/tests/CheckerInst/dut.sv:3:3: Non synthesizable construct, top_clocking -[LINT]: ${SURELOG_DIR}/tests/CheckerInst/dut.sv:13:3: Non synthesizable construct, check -[LINT]: ${SURELOG_DIR}/tests/CheckerInst/dut.sv:3:3: Non synthesizable construct, top_clocking -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CheckerInst/dut.sv | ${SURELOG_DIR}/build/regression/CheckerInst/roundtrip/dut_000.sv | 10 | 16 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CheckerInst/dut.sv | ${SURELOG_DIR}/build/regression/CheckerInst/roundtrip/dut_000.sv | 10 | 16 | \ No newline at end of file diff --git a/tests/ClassCons/ClassCons.log b/tests/ClassCons/ClassCons.log index 9d8ab494a6..e6fe978468 100644 --- a/tests/ClassCons/ClassCons.log +++ b/tests/ClassCons/ClassCons.log @@ -864,26 +864,8 @@ var_select 5 [WARNING] : 1 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassCons/definesPkg.pkg:6:4: Non synthesizable construct, D_PKG1 -[LINT]: ${SURELOG_DIR}/tests/ClassCons/definesPkg_1.pkg:6:4: Non synthesizable construct, D_PKG -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:14:3: Non synthesizable construct, A -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:26:3: Non synthesizable construct, B -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:5:13: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:39:4: Non synthesizable construct, C -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:57:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:58:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:59:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:60:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:61:8: Non synthesizable construct, print -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:62:8: Non synthesizable construct, print -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:63:8: Non synthesizable construct, print -[LINT]: ${SURELOG_DIR}/tests/ClassCons/top.sv:9:1: Non synthesizable construct, -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ClassCons/builtin.sv | ${SURELOG_DIR}/build/regression/ClassCons/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/ClassCons/definesPkg.pkg | ${SURELOG_DIR}/build/regression/ClassCons/roundtrip/definesPkg_000.pkg | 8 | 16 | [roundtrip]: ${SURELOG_DIR}/tests/ClassCons/definesPkg_1.pkg | ${SURELOG_DIR}/build/regression/ClassCons/roundtrip/definesPkg_1_000.pkg | 8 | 16 | -[roundtrip]: ${SURELOG_DIR}/tests/ClassCons/top.sv | ${SURELOG_DIR}/build/regression/ClassCons/roundtrip/top_000.sv | 19 | 66 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassCons/top.sv | ${SURELOG_DIR}/build/regression/ClassCons/roundtrip/top_000.sv | 19 | 66 | \ No newline at end of file diff --git a/tests/ClassExtendParam/ClassExtendParam.log b/tests/ClassExtendParam/ClassExtendParam.log index c0e450f1f6..a706db5ebc 100644 --- a/tests/ClassExtendParam/ClassExtendParam.log +++ b/tests/ClassExtendParam/ClassExtendParam.log @@ -591,18 +591,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:15:9: Non synthesizable construct, uvm_component -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:19:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:19:1: Non synthesizable construct, uvm_sequencer_base -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:3:9: Non synthesizable construct, uvm_sequence -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:7:74: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:10:36: Non synthesizable construct, get_full_name -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:7:1: Non synthesizable construct, uvm_reg_sequence -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:25:1: Non synthesizable construct, uvm_sequence_item -[LINT]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv:22:9: Non synthesizable construct, uvm_sequence_base -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassExtendParam/roundtrip/dut_000.sv | 23 | 33 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassExtendParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassExtendParam/roundtrip/dut_000.sv | 23 | 33 | \ No newline at end of file diff --git a/tests/ClassExtends/ClassExtends.log b/tests/ClassExtends/ClassExtends.log index 7b7597f617..a61836813c 100644 --- a/tests/ClassExtends/ClassExtends.log +++ b/tests/ClassExtends/ClassExtends.log @@ -219,13 +219,5 @@ design: (unnamed) [WARNING] : 3 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassExtends/dut.sv:3:1: Non synthesizable construct, c1 -[LINT]: ${SURELOG_DIR}/tests/ClassExtends/dut.sv:13:1: Non synthesizable construct, c33 -[LINT]: ${SURELOG_DIR}/tests/ClassExtends/dut.sv:21:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassExtends/dut.sv:21:1: Non synthesizable construct, c2 -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassExtends/dut.sv | ${SURELOG_DIR}/build/regression/ClassExtends/roundtrip/dut_000.sv | 8 | 28 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ClassExtends/dut.sv | ${SURELOG_DIR}/build/regression/ClassExtends/roundtrip/dut_000.sv | 8 | 28 | \ No newline at end of file diff --git a/tests/ClassFsm/ClassFsm.log b/tests/ClassFsm/ClassFsm.log index 5e3b4b5e88..e2fc69d336 100644 --- a/tests/ClassFsm/ClassFsm.log +++ b/tests/ClassFsm/ClassFsm.log @@ -2982,16 +2982,3 @@ design: (work@fsm_class) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:3:3: Non synthesizable construct, baseFsm -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:26:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:26:3: Non synthesizable construct, specificFSM -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:83:7: Non synthesizable construct, current_state_transition -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:86:5: Non synthesizable construct, main_comb -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:3:3: Non synthesizable construct, baseFsm -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:26:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:26:3: Non synthesizable construct, specificFSM -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:83:7: Non synthesizable construct, current_state_transition -[LINT]: ${SURELOG_DIR}/tests/ClassFsm/dut.sv:86:5: Non synthesizable construct, main_comb -============================== End Linting Results ============================== diff --git a/tests/ClassFuncProto/ClassFuncProto.log b/tests/ClassFuncProto/ClassFuncProto.log index 9b0b0e5647..7fd2a33b5d 100644 --- a/tests/ClassFuncProto/ClassFuncProto.log +++ b/tests/ClassFuncProto/ClassFuncProto.log @@ -2126,30 +2126,6 @@ design: (work@toto) [WARNING] : 1 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:15:1: Non synthesizable construct, c3 -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:18:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:8:1: Non synthesizable construct, c2 -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:18:1: Non synthesizable construct, c1 -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:18:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:18:1: Non synthesizable construct, c1 -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:8:1: Non synthesizable construct, c2 -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:15:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:15:1: Non synthesizable construct, c3 -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:31:5: Unsupported typespec, REQ -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:31:5: Unsupported typespec, REQ -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:30:10: Unsupported typespec, REQ -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:38:71: Unsupported typespec, uvm_reg -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:41:71: Unsupported typespec, uvm_reg -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:31:5: Unsupported typespec, REQ -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:31:5: Unsupported typespec, REQ -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:38:71: Unsupported typespec, uvm_reg -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:41:71: Unsupported typespec, uvm_reg -[LINT]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v:50:2: Unsupported typespec, DD -[LINT]: \_ ${SURELOG_DIR}/tests/ClassFuncProto/top.v:50:5: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/ClassFuncProto/builtin.sv | ${SURELOG_DIR}/build/regression/ClassFuncProto/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v | ${SURELOG_DIR}/build/regression/ClassFuncProto/roundtrip/top_000.v | 10 | 52 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassFuncProto/top.v | ${SURELOG_DIR}/build/regression/ClassFuncProto/roundtrip/top_000.v | 10 | 52 | \ No newline at end of file diff --git a/tests/ClassFuncTask/ClassFuncTask.log b/tests/ClassFuncTask/ClassFuncTask.log index ed514d4a92..d3596ba102 100644 --- a/tests/ClassFuncTask/ClassFuncTask.log +++ b/tests/ClassFuncTask/ClassFuncTask.log @@ -551,12 +551,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassFuncTask/dut.sv:12:1: Non synthesizable construct, C -[LINT]: ${SURELOG_DIR}/tests/ClassFuncTask/dut.sv:5:1: Non synthesizable construct, Vector -[LINT]: ${SURELOG_DIR}/tests/ClassFuncTask/dut.sv:9:1: Non synthesizable construct, ovm_queue -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassFuncTask/dut.sv | ${SURELOG_DIR}/build/regression/ClassFuncTask/roundtrip/dut_000.sv | 17 | 27 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassFuncTask/dut.sv | ${SURELOG_DIR}/build/regression/ClassFuncTask/roundtrip/dut_000.sv | 17 | 27 | \ No newline at end of file diff --git a/tests/ClassMemberFunc/ClassMemberFunc.log b/tests/ClassMemberFunc/ClassMemberFunc.log index 5a3249e241..2ddfdbb577 100644 --- a/tests/ClassMemberFunc/ClassMemberFunc.log +++ b/tests/ClassMemberFunc/ClassMemberFunc.log @@ -581,17 +581,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:3:1: Non synthesizable construct, uvm_queue -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:15:31: Non synthesizable construct, get -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:6:1: Non synthesizable construct, uvm_resource_types -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:15:31: Non synthesizable construct, get -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:15:3: Unsupported typespec, uvm_resource_base -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:15:3: Unsupported typespec, uvm_resource_base -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:15:3: Unsupported typespec, uvm_resource_base -[LINT]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv:15:3: Unsupported typespec, uvm_resource_base -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv | ${SURELOG_DIR}/build/regression/ClassMemberFunc/roundtrip/dut_000.sv | 8 | 20 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassMemberFunc/dut.sv | ${SURELOG_DIR}/build/regression/ClassMemberFunc/roundtrip/dut_000.sv | 8 | 20 | \ No newline at end of file diff --git a/tests/ClassMemberRef/ClassMemberRef.log b/tests/ClassMemberRef/ClassMemberRef.log index 5b156fd80b..ee5e4147ee 100644 --- a/tests/ClassMemberRef/ClassMemberRef.log +++ b/tests/ClassMemberRef/ClassMemberRef.log @@ -638,15 +638,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv:3:1: Non synthesizable construct, m_uvm_printer_knobs -[LINT]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv:9:1: Non synthesizable construct, uvm_printer -[LINT]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv:26:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv:27:9: Non synthesizable construct, set_name_enabled -[LINT]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv:26:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv:27:9: Non synthesizable construct, set_name_enabled -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv | ${SURELOG_DIR}/build/regression/ClassMemberRef/roundtrip/dut_000.sv | 11 | 29 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassMemberRef/dut.sv | ${SURELOG_DIR}/build/regression/ClassMemberRef/roundtrip/dut_000.sv | 11 | 29 | \ No newline at end of file diff --git a/tests/ClassMethodCall/ClassMethodCall.log b/tests/ClassMethodCall/ClassMethodCall.log index a9c2b63e49..fc76e5e8dd 100644 --- a/tests/ClassMethodCall/ClassMethodCall.log +++ b/tests/ClassMethodCall/ClassMethodCall.log @@ -938,18 +938,5 @@ design: (work@door_mod) [WARNING] : 3 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:4:9: Non synthesizable construct, door -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:21:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:21:1: Non synthesizable construct, doorOpen -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:47:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:48:14: Non synthesizable construct, door_fsm -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:49:14: Non synthesizable construct, f1 -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:47:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:48:14: Non synthesizable construct, door_fsm -[LINT]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv:49:14: Non synthesizable construct, f1 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv | ${SURELOG_DIR}/build/regression/ClassMethodCall/roundtrip/dut_000.sv | 27 | 51 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassMethodCall/dut.sv | ${SURELOG_DIR}/build/regression/ClassMethodCall/roundtrip/dut_000.sv | 27 | 51 | \ No newline at end of file diff --git a/tests/ClassMini/ClassMini.log b/tests/ClassMini/ClassMini.log index ac28bff209..469c04e8bf 100644 --- a/tests/ClassMini/ClassMini.log +++ b/tests/ClassMini/ClassMini.log @@ -666,17 +666,5 @@ design: (work@door_mod) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:3:9: Non synthesizable construct, door -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:13:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:13:1: Non synthesizable construct, doorOpen -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:29:1: Non synthesizable construct, work@toto -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:24:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:25:14: Non synthesizable construct, door_fsm -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:24:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassMini/dut.sv:25:14: Non synthesizable construct, door_fsm -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassMini/dut.sv | ${SURELOG_DIR}/build/regression/ClassMini/roundtrip/dut_000.sv | 16 | 30 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassMini/dut.sv | ${SURELOG_DIR}/build/regression/ClassMini/roundtrip/dut_000.sv | 16 | 30 | \ No newline at end of file diff --git a/tests/ClassParam/ClassParam.log b/tests/ClassParam/ClassParam.log index 46789e76c3..013f482b4e 100644 --- a/tests/ClassParam/ClassParam.log +++ b/tests/ClassParam/ClassParam.log @@ -275,12 +275,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassParam/dut.sv:7:4: Non synthesizable construct, embedded -[LINT]: ${SURELOG_DIR}/tests/ClassParam/dut.sv:7:4: Non synthesizable construct, embedded -[LINT]: ${SURELOG_DIR}/tests/ClassParam/dut.sv:3:1: Non synthesizable construct, uvm_port_base -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassParam/roundtrip/dut_000.sv | 8 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassParam/roundtrip/dut_000.sv | 8 | 14 | \ No newline at end of file diff --git a/tests/ClassParamAsParam/ClassParamAsParam.log b/tests/ClassParamAsParam/ClassParamAsParam.log index 41b48989cd..f8d22dab1b 100644 --- a/tests/ClassParamAsParam/ClassParamAsParam.log +++ b/tests/ClassParamAsParam/ClassParamAsParam.log @@ -398,15 +398,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv:1:9: Non synthesizable construct, work@object -[LINT]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv:7:1: Non synthesizable construct, work@resource_db -[LINT]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv:14:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv:14:1: Non synthesizable construct, work@config_db -[LINT]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv:4:1: Non synthesizable construct, work@resource -[LINT]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv:18:32: Non synthesizable construct, misc2 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassParamAsParam/roundtrip/dut_000.sv | 7 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassParamAsParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassParamAsParam/roundtrip/dut_000.sv | 7 | 19 | \ No newline at end of file diff --git a/tests/ClassScope/ClassScope.log b/tests/ClassScope/ClassScope.log index bd28d5c098..019c6380d3 100644 --- a/tests/ClassScope/ClassScope.log +++ b/tests/ClassScope/ClassScope.log @@ -29462,102 +29462,3 @@ design: (work@top) [ ERROR] : 176 [WARNING] : 4 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:1:1: Non synthesizable construct, work@C -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:47:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:21:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:32:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, P::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:44: Unsupported typespec, P::T -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, C::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:53:47: Unsupported typespec, C::E -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:47: Unsupported typespec, C::T -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, C::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:53:51: Unsupported typespec, C::E -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:51: Unsupported typespec, C::T -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, C::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:53:57: Unsupported typespec, C::E -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:57: Unsupported typespec, C::T -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, C::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:53:71: Unsupported typespec, C::E -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:71: Unsupported typespec, C::T -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, C::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:53:57: Unsupported typespec, C::E -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:57: Unsupported typespec, C::T -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:40: Unsupported typespec, C::T -[LINT]: \_ ${SURELOG_DIR}/tests/ClassScope/dut.sv:45:29: -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:53:61: Unsupported typespec, C::E -[LINT]: ${SURELOG_DIR}/tests/ClassScope/dut.sv:54:61: Unsupported typespec, C::T -============================== End Linting Results ============================== diff --git a/tests/ClassTypeParam/ClassTypeParam.log b/tests/ClassTypeParam/ClassTypeParam.log index 61a97e4c91..acdd036d38 100644 --- a/tests/ClassTypeParam/ClassTypeParam.log +++ b/tests/ClassTypeParam/ClassTypeParam.log @@ -564,16 +564,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:1:9: Non synthesizable construct, work@uvm_object -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:7:1: Non synthesizable construct, work@uvm_resource_db -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:16:55: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:16:1: Non synthesizable construct, work@uvm_config_db -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:4:1: Non synthesizable construct, work@uvm_resource -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:24:25: Non synthesizable construct, misc1 -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv:26:38: Non synthesizable construct, misc2 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassTypeParam/roundtrip/dut_000.sv | 11 | 27 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassTypeParam/dut.sv | ${SURELOG_DIR}/build/regression/ClassTypeParam/roundtrip/dut_000.sv | 11 | 27 | \ No newline at end of file diff --git a/tests/ClassTypeParamAlias/ClassTypeParamAlias.log b/tests/ClassTypeParamAlias/ClassTypeParamAlias.log index 1aabe54cdb..37a824cd31 100644 --- a/tests/ClassTypeParamAlias/ClassTypeParamAlias.log +++ b/tests/ClassTypeParamAlias/ClassTypeParamAlias.log @@ -1272,11 +1272,6 @@ design: (unnamed) [WARNING] : 0 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassTypeParamAlias/dut.sv:3:1: Non synthesizable construct, work@param_types_as_class_item -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/ClassTypeParamAlias/builtin.sv | ${SURELOG_DIR}/build/regression/ClassTypeParamAlias/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ClassTypeParamAlias/dut.sv | ${SURELOG_DIR}/build/regression/ClassTypeParamAlias/roundtrip/dut_000.sv | 5 | 10 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassTypeParamAlias/dut.sv | ${SURELOG_DIR}/build/regression/ClassTypeParamAlias/roundtrip/dut_000.sv | 5 | 10 | \ No newline at end of file diff --git a/tests/ClassVar/ClassVar.log b/tests/ClassVar/ClassVar.log index ca4897c57c..90f6b6cbb4 100644 --- a/tests/ClassVar/ClassVar.log +++ b/tests/ClassVar/ClassVar.log @@ -760,16 +760,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassVar/dut.sv:7:1: Non synthesizable construct, uvm_hdl_path_concat -[LINT]: ${SURELOG_DIR}/tests/ClassVar/dut.sv:11:1: Non synthesizable construct, uvm_mem_mam -[LINT]: ${SURELOG_DIR}/tests/ClassVar/dut.sv:16:11: Unsupported typespec, paths -[LINT]: ${SURELOG_DIR}/tests/ClassVar/dut.sv:16:17: Unsupported typespec, p -[LINT]: \_ ${SURELOG_DIR}/tests/ClassVar/dut.sv:16:17: -[LINT]: ${SURELOG_DIR}/tests/ClassVar/dut.sv:16:17: Unsupported typespec, p -[LINT]: \_ ${SURELOG_DIR}/tests/ClassVar/dut.sv:16:17: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassVar/dut.sv | ${SURELOG_DIR}/build/regression/ClassVar/roundtrip/dut_000.sv | 12 | 28 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClassVar/dut.sv | ${SURELOG_DIR}/build/regression/ClassVar/roundtrip/dut_000.sv | 12 | 28 | \ No newline at end of file diff --git a/tests/ClassVirtual/ClassVirtual.log b/tests/ClassVirtual/ClassVirtual.log index 8d15dfd39f..bce5b6b693 100644 --- a/tests/ClassVirtual/ClassVirtual.log +++ b/tests/ClassVirtual/ClassVirtual.log @@ -178,15 +178,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv:3:1: Non synthesizable construct, uvm_void -[LINT]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv:6:1: Non synthesizable construct, Base -[LINT]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv:12:50: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv:12:1: Non synthesizable construct, uvm_port_base2 -[LINT]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv:9:58: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv:9:9: Non synthesizable construct, uvm_port_base1 -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv | ${SURELOG_DIR}/build/regression/ClassVirtual/roundtrip/dut_000.sv | 8 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ClassVirtual/dut.sv | ${SURELOG_DIR}/build/regression/ClassVirtual/roundtrip/dut_000.sv | 8 | 16 | \ No newline at end of file diff --git a/tests/ClockingBlock/ClockingBlock.log b/tests/ClockingBlock/ClockingBlock.log index 1685f200e1..77e8efe47f 100644 --- a/tests/ClockingBlock/ClockingBlock.log +++ b/tests/ClockingBlock/ClockingBlock.log @@ -1489,28 +1489,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:9:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:6:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:5:3: Non synthesizable construct, unnamed_clocking_block -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:12:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:12:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:13:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:13:14: Non synthesizable construct, from_Dut -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:14:20: Non synthesizable construct, to_Dut -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:11:3: Non synthesizable construct, cb1 -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:9:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:6:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:5:3: Non synthesizable construct, unnamed_clocking_block -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:12:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:12:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:13:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:13:14: Non synthesizable construct, from_Dut -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:14:20: Non synthesizable construct, to_Dut -[LINT]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv:11:3: Non synthesizable construct, cb1 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/ClockingBlock/builtin.sv | ${SURELOG_DIR}/build/regression/ClockingBlock/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv | ${SURELOG_DIR}/build/regression/ClockingBlock/roundtrip/dut_000.sv | 4 | 17 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClockingBlock/dut.sv | ${SURELOG_DIR}/build/regression/ClockingBlock/roundtrip/dut_000.sv | 4 | 17 | \ No newline at end of file diff --git a/tests/ClockingDrive/ClockingDrive.log b/tests/ClockingDrive/ClockingDrive.log index 51f3a614be..32d6640f3b 100644 --- a/tests/ClockingDrive/ClockingDrive.log +++ b/tests/ClockingDrive/ClockingDrive.log @@ -1595,37 +1595,5 @@ design: (work@main) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:7:8: Non synthesizable construct, v -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:6:1: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:10:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:18:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:19:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:20:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:22:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:24:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:26:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:27:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:28:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:32:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:7:8: Non synthesizable construct, v -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:6:1: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:7:8: Non synthesizable construct, v -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:6:1: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:10:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:18:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:19:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:20:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:22:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:24:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:26:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:27:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:28:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv:32:1: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv | ${SURELOG_DIR}/build/regression/ClockingDrive/roundtrip/dut_000.sv | 15 | 36 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClockingDrive/dut.sv | ${SURELOG_DIR}/build/regression/ClockingDrive/roundtrip/dut_000.sv | 15 | 36 | \ No newline at end of file diff --git a/tests/ClockingSntx/ClockingSntx.log b/tests/ClockingSntx/ClockingSntx.log index 413e26bc55..036f5a8c91 100644 --- a/tests/ClockingSntx/ClockingSntx.log +++ b/tests/ClockingSntx/ClockingSntx.log @@ -1403,31 +1403,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:3:8: Non synthesizable construct, instruction -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:2:2: Non synthesizable construct, mem -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:13:9: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:14:10: Non synthesizable construct, write -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:15:9: Non synthesizable construct, state -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:12:2: Non synthesizable construct, cd1 -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:19:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:19:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:19:24: Non synthesizable construct, cmd -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:20:9: Non synthesizable construct, enable -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:18:2: Non synthesizable construct, cd2 -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:3:8: Non synthesizable construct, instruction -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:2:2: Non synthesizable construct, mem -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:13:9: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:14:10: Non synthesizable construct, write -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:15:9: Non synthesizable construct, state -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:12:2: Non synthesizable construct, cd1 -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:19:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:19:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:19:24: Non synthesizable construct, cmd -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:20:9: Non synthesizable construct, enable -[LINT]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv:18:2: Non synthesizable construct, cd2 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv | ${SURELOG_DIR}/build/regression/ClockingSntx/roundtrip/dut_000.sv | 10 | 27 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ClockingSntx/dut.sv | ${SURELOG_DIR}/build/regression/ClockingSntx/roundtrip/dut_000.sv | 10 | 27 | \ No newline at end of file diff --git a/tests/ClogParam/ClogParam.log b/tests/ClogParam/ClogParam.log index dbf3c2d4f0..954f40864b 100644 --- a/tests/ClogParam/ClogParam.log +++ b/tests/ClogParam/ClogParam.log @@ -918,6 +918,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ClogParam/dut.sv | ${SURELOG_DIR}/build/regression/ClogParam/roundtrip/dut_000.sv | 10 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ClogParam/dut.sv | ${SURELOG_DIR}/build/regression/ClogParam/roundtrip/dut_000.sv | 10 | 19 | \ No newline at end of file diff --git a/tests/CmdLineOverride/CmdLineOverride.log b/tests/CmdLineOverride/CmdLineOverride.log index 3756a6bb2a..4c72fa9483 100644 --- a/tests/CmdLineOverride/CmdLineOverride.log +++ b/tests/CmdLineOverride/CmdLineOverride.log @@ -187,6 +187,5 @@ design: (work@foo) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CmdLineOverride/dut.sv | ${SURELOG_DIR}/build/regression/CmdLineOverride/roundtrip/dut_000.sv | 0 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CmdLineOverride/dut.sv | ${SURELOG_DIR}/build/regression/CmdLineOverride/roundtrip/dut_000.sv | 0 | 6 | \ No newline at end of file diff --git a/tests/ComplexBitSelect/ComplexBitSelect.log b/tests/ComplexBitSelect/ComplexBitSelect.log index 1dd6c563d1..c41d3e0d54 100644 --- a/tests/ComplexBitSelect/ComplexBitSelect.log +++ b/tests/ComplexBitSelect/ComplexBitSelect.log @@ -3172,7 +3172,6 @@ design: (work@flash_ctrl_info_cfg) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ComplexBitSelect/builtin.sv | ${SURELOG_DIR}/build/regression/ComplexBitSelect/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ComplexBitSelect/dut.sv | ${SURELOG_DIR}/build/regression/ComplexBitSelect/roundtrip/dut_000.sv | 6 | 24 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ComplexBitSelect/dut.sv | ${SURELOG_DIR}/build/regression/ComplexBitSelect/roundtrip/dut_000.sv | 6 | 24 | \ No newline at end of file diff --git a/tests/ComplexEscaped/ComplexEscaped.log b/tests/ComplexEscaped/ComplexEscaped.log index 5e37bc1a41..61bceef3bc 100644 --- a/tests/ComplexEscaped/ComplexEscaped.log +++ b/tests/ComplexEscaped/ComplexEscaped.log @@ -297,6 +297,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ComplexEscaped/dut.sv | ${SURELOG_DIR}/build/regression/ComplexEscaped/roundtrip/dut_000.sv | 1 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ComplexEscaped/dut.sv | ${SURELOG_DIR}/build/regression/ComplexEscaped/roundtrip/dut_000.sv | 1 | 5 | \ No newline at end of file diff --git a/tests/ComplexExprSize/ComplexExprSize.log b/tests/ComplexExprSize/ComplexExprSize.log index 7a58dbb170..9f4e35a967 100644 --- a/tests/ComplexExprSize/ComplexExprSize.log +++ b/tests/ComplexExprSize/ComplexExprSize.log @@ -370,6 +370,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ComplexExprSize/dut.sv | ${SURELOG_DIR}/build/regression/ComplexExprSize/roundtrip/dut_000.sv | 2 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ComplexExprSize/dut.sv | ${SURELOG_DIR}/build/regression/ComplexExprSize/roundtrip/dut_000.sv | 2 | 5 | \ No newline at end of file diff --git a/tests/ComplexHierPath/ComplexHierPath.log b/tests/ComplexHierPath/ComplexHierPath.log index 221f8c1896..0e6ea56e0b 100644 --- a/tests/ComplexHierPath/ComplexHierPath.log +++ b/tests/ComplexHierPath/ComplexHierPath.log @@ -1174,6 +1174,5 @@ design: (work@genblk_dive_top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ComplexHierPath/dut.sv | ${SURELOG_DIR}/build/regression/ComplexHierPath/roundtrip/dut_000.sv | 19 | 21 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ComplexHierPath/dut.sv | ${SURELOG_DIR}/build/regression/ComplexHierPath/roundtrip/dut_000.sv | 19 | 21 | \ No newline at end of file diff --git a/tests/ComplexParamOverload/ComplexParamOverload.log b/tests/ComplexParamOverload/ComplexParamOverload.log index cd2f633a49..2c57b81cd9 100644 --- a/tests/ComplexParamOverload/ComplexParamOverload.log +++ b/tests/ComplexParamOverload/ComplexParamOverload.log @@ -1643,6 +1643,5 @@ design: (work@top) [WARNING] : 6 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ComplexParamOverload/dut.sv | ${SURELOG_DIR}/build/regression/ComplexParamOverload/roundtrip/dut_000.sv | 16 | 74 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ComplexParamOverload/dut.sv | ${SURELOG_DIR}/build/regression/ComplexParamOverload/roundtrip/dut_000.sv | 16 | 74 | \ No newline at end of file diff --git a/tests/ComplexParamOverload2/ComplexParamOverload2.log b/tests/ComplexParamOverload2/ComplexParamOverload2.log index 7c9892662b..d5daba7664 100644 --- a/tests/ComplexParamOverload2/ComplexParamOverload2.log +++ b/tests/ComplexParamOverload2/ComplexParamOverload2.log @@ -1552,6 +1552,5 @@ design: (work@top) [WARNING] : 6 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ComplexParamOverload2/dut.sv | ${SURELOG_DIR}/build/regression/ComplexParamOverload2/roundtrip/dut_000.sv | 21 | 66 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ComplexParamOverload2/dut.sv | ${SURELOG_DIR}/build/regression/ComplexParamOverload2/roundtrip/dut_000.sv | 21 | 66 | \ No newline at end of file diff --git a/tests/ComplexVarSelect/ComplexVarSelect.log b/tests/ComplexVarSelect/ComplexVarSelect.log index 1a12fa5908..f7c8861b8b 100644 --- a/tests/ComplexVarSelect/ComplexVarSelect.log +++ b/tests/ComplexVarSelect/ComplexVarSelect.log @@ -680,6 +680,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ComplexVarSelect/dut.sv | ${SURELOG_DIR}/build/regression/ComplexVarSelect/roundtrip/dut_000.sv | 4 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ComplexVarSelect/dut.sv | ${SURELOG_DIR}/build/regression/ComplexVarSelect/roundtrip/dut_000.sv | 4 | 7 | \ No newline at end of file diff --git a/tests/ConcatRadix/ConcatRadix.log b/tests/ConcatRadix/ConcatRadix.log index 34bfcfe094..eb5546c7d8 100644 --- a/tests/ConcatRadix/ConcatRadix.log +++ b/tests/ConcatRadix/ConcatRadix.log @@ -354,6 +354,5 @@ design: (work@test) [WARNING] : 4 [ NOTE] : 8 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConcatRadix/dut.sv | ${SURELOG_DIR}/build/regression/ConcatRadix/roundtrip/dut_000.sv | 4 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConcatRadix/dut.sv | ${SURELOG_DIR}/build/regression/ConcatRadix/roundtrip/dut_000.sv | 4 | 9 | \ No newline at end of file diff --git a/tests/ConcatVal/ConcatVal.log b/tests/ConcatVal/ConcatVal.log index 175bdac582..950556b093 100644 --- a/tests/ConcatVal/ConcatVal.log +++ b/tests/ConcatVal/ConcatVal.log @@ -1786,7 +1786,6 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ConcatVal/builtin.sv | ${SURELOG_DIR}/build/regression/ConcatVal/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ConcatVal/dut.sv | ${SURELOG_DIR}/build/regression/ConcatVal/roundtrip/dut_000.sv | 1 | 15 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ConcatVal/dut.sv | ${SURELOG_DIR}/build/regression/ConcatVal/roundtrip/dut_000.sv | 1 | 15 | \ No newline at end of file diff --git a/tests/ConcatWidth/ConcatWidth.log b/tests/ConcatWidth/ConcatWidth.log index a173244041..199a2b9276 100644 --- a/tests/ConcatWidth/ConcatWidth.log +++ b/tests/ConcatWidth/ConcatWidth.log @@ -2063,7 +2063,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ConcatWidth/builtin.sv | ${SURELOG_DIR}/build/regression/ConcatWidth/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ConcatWidth/dut.sv | ${SURELOG_DIR}/build/regression/ConcatWidth/roundtrip/dut_000.sv | 6 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ConcatWidth/dut.sv | ${SURELOG_DIR}/build/regression/ConcatWidth/roundtrip/dut_000.sv | 6 | 18 | \ No newline at end of file diff --git a/tests/CondOpLazyEval/CondOpLazyEval.log b/tests/CondOpLazyEval/CondOpLazyEval.log index 636e3cdfc2..567cf97979 100644 --- a/tests/CondOpLazyEval/CondOpLazyEval.log +++ b/tests/CondOpLazyEval/CondOpLazyEval.log @@ -515,6 +515,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CondOpLazyEval/dut.sv | ${SURELOG_DIR}/build/regression/CondOpLazyEval/roundtrip/dut_000.sv | 2 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CondOpLazyEval/dut.sv | ${SURELOG_DIR}/build/regression/CondOpLazyEval/roundtrip/dut_000.sv | 2 | 5 | \ No newline at end of file diff --git a/tests/CondOpPattern/CondOpPattern.log b/tests/CondOpPattern/CondOpPattern.log index e657b72b16..f87df823bd 100644 --- a/tests/CondOpPattern/CondOpPattern.log +++ b/tests/CondOpPattern/CondOpPattern.log @@ -631,6 +631,5 @@ design: (work@Example) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CondOpPattern/dut.sv | ${SURELOG_DIR}/build/regression/CondOpPattern/roundtrip/dut_000.sv | 6 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CondOpPattern/dut.sv | ${SURELOG_DIR}/build/regression/CondOpPattern/roundtrip/dut_000.sv | 6 | 12 | \ No newline at end of file diff --git a/tests/CondOpPrec/CondOpPred.log b/tests/CondOpPrec/CondOpPred.log index 65c5de49d7..aee4dc6b01 100644 --- a/tests/CondOpPrec/CondOpPred.log +++ b/tests/CondOpPrec/CondOpPred.log @@ -573,6 +573,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CondOpPrec/dut.sv | ${SURELOG_DIR}/build/regression/CondOpPred/roundtrip/dut_000.sv | 9 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CondOpPrec/dut.sv | ${SURELOG_DIR}/build/regression/CondOpPred/roundtrip/dut_000.sv | 9 | 17 | \ No newline at end of file diff --git a/tests/ConditionalOp/ConditionalOp.log b/tests/ConditionalOp/ConditionalOp.log index 5486c946f4..e2a41bf5cd 100644 --- a/tests/ConditionalOp/ConditionalOp.log +++ b/tests/ConditionalOp/ConditionalOp.log @@ -2605,7 +2605,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ConditionalOp/builtin.sv | ${SURELOG_DIR}/build/regression/ConditionalOp/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ConditionalOp/dut.sv | ${SURELOG_DIR}/build/regression/ConditionalOp/roundtrip/dut_000.sv | 6 | 24 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ConditionalOp/dut.sv | ${SURELOG_DIR}/build/regression/ConditionalOp/roundtrip/dut_000.sv | 6 | 24 | \ No newline at end of file diff --git a/tests/Connection/Connection.log b/tests/Connection/Connection.log index 8efd246502..bffbff0993 100644 --- a/tests/Connection/Connection.log +++ b/tests/Connection/Connection.log @@ -1089,7 +1089,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Connection/builtin.sv | ${SURELOG_DIR}/build/regression/Connection/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Connection/dut.sv | ${SURELOG_DIR}/build/regression/Connection/roundtrip/dut_000.sv | 13 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Connection/dut.sv | ${SURELOG_DIR}/build/regression/Connection/roundtrip/dut_000.sv | 13 | 19 | \ No newline at end of file diff --git a/tests/ConstCapital/ConstCapital.log b/tests/ConstCapital/ConstCapital.log index 3de4020e03..7324cc05aa 100644 --- a/tests/ConstCapital/ConstCapital.log +++ b/tests/ConstCapital/ConstCapital.log @@ -414,6 +414,5 @@ design: (work@test) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstCapital/dut.sv | ${SURELOG_DIR}/build/regression/ConstCapital/roundtrip/dut_000.sv | 6 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstCapital/dut.sv | ${SURELOG_DIR}/build/regression/ConstCapital/roundtrip/dut_000.sv | 6 | 19 | \ No newline at end of file diff --git a/tests/ConstExpand/ConstExpand.log b/tests/ConstExpand/ConstExpand.log index ca18c11028..23fbf7d4e1 100644 --- a/tests/ConstExpand/ConstExpand.log +++ b/tests/ConstExpand/ConstExpand.log @@ -616,6 +616,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstExpand/dut.sv | ${SURELOG_DIR}/build/regression/ConstExpand/roundtrip/dut_000.sv | 3 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstExpand/dut.sv | ${SURELOG_DIR}/build/regression/ConstExpand/roundtrip/dut_000.sv | 3 | 15 | \ No newline at end of file diff --git a/tests/ConstHighConn/ConstHighConn.log b/tests/ConstHighConn/ConstHighConn.log index 9393ccb722..8b7f65550a 100644 --- a/tests/ConstHighConn/ConstHighConn.log +++ b/tests/ConstHighConn/ConstHighConn.log @@ -402,6 +402,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstHighConn/dut.sv | ${SURELOG_DIR}/build/regression/ConstHighConn/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstHighConn/dut.sv | ${SURELOG_DIR}/build/regression/ConstHighConn/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/ConstPort/ConstPort.log b/tests/ConstPort/ConstPort.log index 122e9d0603..ea9aa80399 100644 --- a/tests/ConstPort/ConstPort.log +++ b/tests/ConstPort/ConstPort.log @@ -379,6 +379,5 @@ design: (work@cipher_core) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstPort/dut.sv | ${SURELOG_DIR}/build/regression/ConstPort/roundtrip/dut_000.sv | 7 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstPort/dut.sv | ${SURELOG_DIR}/build/regression/ConstPort/roundtrip/dut_000.sv | 7 | 20 | \ No newline at end of file diff --git a/tests/ConstantBits/ConstantBits.log b/tests/ConstantBits/ConstantBits.log index 21ba4cbbcd..107d609a96 100644 --- a/tests/ConstantBits/ConstantBits.log +++ b/tests/ConstantBits/ConstantBits.log @@ -705,6 +705,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstantBits/dut.sv | ${SURELOG_DIR}/build/regression/ConstantBits/roundtrip/dut_000.sv | 2 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstantBits/dut.sv | ${SURELOG_DIR}/build/regression/ConstantBits/roundtrip/dut_000.sv | 2 | 12 | \ No newline at end of file diff --git a/tests/ConstantNoElabUhdm/ConstantNoElabUhdm.log b/tests/ConstantNoElabUhdm/ConstantNoElabUhdm.log index fe8373ab5e..de2cbe3f76 100644 --- a/tests/ConstantNoElabUhdm/ConstantNoElabUhdm.log +++ b/tests/ConstantNoElabUhdm/ConstantNoElabUhdm.log @@ -389,6 +389,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstantNoElabUhdm/dut.sv | ${SURELOG_DIR}/build/regression/ConstantNoElabUhdm/roundtrip/dut_000.sv | 7 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstantNoElabUhdm/dut.sv | ${SURELOG_DIR}/build/regression/ConstantNoElabUhdm/roundtrip/dut_000.sv | 7 | 8 | \ No newline at end of file diff --git a/tests/ConstantRange/ConstantRange.log b/tests/ConstantRange/ConstantRange.log index 47ffe701e4..6675ab3b15 100644 --- a/tests/ConstantRange/ConstantRange.log +++ b/tests/ConstantRange/ConstantRange.log @@ -1174,6 +1174,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstantRange/dut.sv | ${SURELOG_DIR}/build/regression/ConstantRange/roundtrip/dut_000.sv | 9 | 21 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstantRange/dut.sv | ${SURELOG_DIR}/build/regression/ConstantRange/roundtrip/dut_000.sv | 9 | 21 | \ No newline at end of file diff --git a/tests/ConstantWithElabUhdm/ConstantWithElabUhdm.log b/tests/ConstantWithElabUhdm/ConstantWithElabUhdm.log index c5d7673efe..fb5d71257c 100644 --- a/tests/ConstantWithElabUhdm/ConstantWithElabUhdm.log +++ b/tests/ConstantWithElabUhdm/ConstantWithElabUhdm.log @@ -410,6 +410,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ConstantWithElabUhdm/dut.sv | ${SURELOG_DIR}/build/regression/ConstantWithElabUhdm/roundtrip/dut_000.sv | 7 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ConstantWithElabUhdm/dut.sv | ${SURELOG_DIR}/build/regression/ConstantWithElabUhdm/roundtrip/dut_000.sv | 7 | 8 | \ No newline at end of file diff --git a/tests/ContAssign/ContAssign.log b/tests/ContAssign/ContAssign.log index 6ebd11ffe8..58a9a3449b 100644 --- a/tests/ContAssign/ContAssign.log +++ b/tests/ContAssign/ContAssign.log @@ -1436,12 +1436,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ContAssign/dut.sv:3:8: Unsupported typespec, intf -[LINT]: \_ ${SURELOG_DIR}/tests/ContAssign/dut.sv:3:13: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/ContAssign/builtin.sv | ${SURELOG_DIR}/build/regression/ContAssign/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ContAssign/dut.sv | ${SURELOG_DIR}/build/regression/ContAssign/roundtrip/dut_000.sv | 4 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ContAssign/dut.sv | ${SURELOG_DIR}/build/regression/ContAssign/roundtrip/dut_000.sv | 4 | 14 | \ No newline at end of file diff --git a/tests/ContAssignConst/ContAssignConst.log b/tests/ContAssignConst/ContAssignConst.log index dca3b643ef..d3020e0f58 100644 --- a/tests/ContAssignConst/ContAssignConst.log +++ b/tests/ContAssignConst/ContAssignConst.log @@ -1516,6 +1516,5 @@ design: (work@static_size_casting) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ContAssignConst/dut.sv | ${SURELOG_DIR}/build/regression/ContAssignConst/roundtrip/dut_000.sv | 20 | 29 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ContAssignConst/dut.sv | ${SURELOG_DIR}/build/regression/ContAssignConst/roundtrip/dut_000.sv | 20 | 29 | \ No newline at end of file diff --git a/tests/Context/Context.log b/tests/Context/Context.log index c3d2d7686b..696d31cd4f 100644 --- a/tests/Context/Context.log +++ b/tests/Context/Context.log @@ -244,6 +244,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Context/dut.sv | ${SURELOG_DIR}/build/regression/Context/roundtrip/dut_000.sv | 2 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Context/dut.sv | ${SURELOG_DIR}/build/regression/Context/roundtrip/dut_000.sv | 2 | 3 | \ No newline at end of file diff --git a/tests/CovMacro/CovMacro.log b/tests/CovMacro/CovMacro.log index 19169e38e5..56b93517b3 100644 --- a/tests/CovMacro/CovMacro.log +++ b/tests/CovMacro/CovMacro.log @@ -2477,37 +2477,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:12:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:13:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:14:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:15:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:16:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:17:13: Non synthesizable construct, $coverage_get_max -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:18:13: Non synthesizable construct, $coverage_get -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:19:13: Non synthesizable construct, $coverage_merge -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:20:13: Non synthesizable construct, $coverage_save -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:21:9: Non synthesizable construct, $set_coverage_db_name -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:22:9: Non synthesizable construct, $load_coverage_db -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:23:13: Non synthesizable construct, $get_coverage -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:7:10: Non synthesizable construct, r -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:12:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:13:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:14:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:15:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:16:13: Non synthesizable construct, $coverage_control -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:17:13: Non synthesizable construct, $coverage_get_max -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:18:13: Non synthesizable construct, $coverage_get -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:19:13: Non synthesizable construct, $coverage_merge -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:20:13: Non synthesizable construct, $coverage_save -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:21:9: Non synthesizable construct, $set_coverage_db_name -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:22:9: Non synthesizable construct, $load_coverage_db -[LINT]: ${SURELOG_DIR}/tests/CovMacro/dut.sv:23:13: Non synthesizable construct, $get_coverage -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/CovMacro/builtin.sv | ${SURELOG_DIR}/build/regression/CovMacro/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/CovMacro/dut.sv | ${SURELOG_DIR}/build/regression/CovMacro/roundtrip/dut_000.sv | 12 | 25 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CovMacro/dut.sv | ${SURELOG_DIR}/build/regression/CovMacro/roundtrip/dut_000.sv | 12 | 25 | \ No newline at end of file diff --git a/tests/CrossFunc/CrossFunc.log b/tests/CrossFunc/CrossFunc.log index 4e4528232d..18b35bd6ee 100644 --- a/tests/CrossFunc/CrossFunc.log +++ b/tests/CrossFunc/CrossFunc.log @@ -1153,11 +1153,5 @@ design: (work@mod_m) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/CrossFunc/dut.sv:9:12: Unsupported typespec, CrossQueueType -[LINT]: ${SURELOG_DIR}/tests/CrossFunc/dut.sv:17:12: Unsupported typespec, CrossQueueType -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CrossFunc/dut.sv | ${SURELOG_DIR}/build/regression/CrossFunc/roundtrip/dut_000.sv | 21 | 32 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/CrossFunc/dut.sv | ${SURELOG_DIR}/build/regression/CrossFunc/roundtrip/dut_000.sv | 21 | 32 | \ No newline at end of file diff --git a/tests/CrossItem/CrossItem.log b/tests/CrossItem/CrossItem.log index 7c2e664850..5526465f23 100644 --- a/tests/CrossItem/CrossItem.log +++ b/tests/CrossItem/CrossItem.log @@ -428,6 +428,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/CrossItem/dut.sv | ${SURELOG_DIR}/build/regression/CrossItem/roundtrip/dut_000.sv | 23 | 25 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/CrossItem/dut.sv | ${SURELOG_DIR}/build/regression/CrossItem/roundtrip/dut_000.sv | 23 | 25 | \ No newline at end of file diff --git a/tests/DashYTest/DashYTest.log b/tests/DashYTest/DashYTest.log index 12dd72a210..1512b81031 100644 --- a/tests/DashYTest/DashYTest.log +++ b/tests/DashYTest/DashYTest.log @@ -200,6 +200,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DashYTest/dut.sv | ${SURELOG_DIR}/build/regression/DashYTest/roundtrip/dut_000.sv | 1 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DashYTest/dut.sv | ${SURELOG_DIR}/build/regression/DashYTest/roundtrip/dut_000.sv | 1 | 3 | \ No newline at end of file diff --git a/tests/DataAttrib/DataAttrib.log b/tests/DataAttrib/DataAttrib.log index bf1ac02877..e444e47cd1 100644 --- a/tests/DataAttrib/DataAttrib.log +++ b/tests/DataAttrib/DataAttrib.log @@ -218,6 +218,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DataAttrib/dut.sv | ${SURELOG_DIR}/build/regression/DataAttrib/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DataAttrib/dut.sv | ${SURELOG_DIR}/build/regression/DataAttrib/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/DecValue/DecValue.log b/tests/DecValue/DecValue.log index 1caaf0e3b7..e35a25ac75 100644 --- a/tests/DecValue/DecValue.log +++ b/tests/DecValue/DecValue.log @@ -480,6 +480,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DecValue/dut.sv | ${SURELOG_DIR}/build/regression/DecValue/roundtrip/dut_000.sv | 4 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DecValue/dut.sv | ${SURELOG_DIR}/build/regression/DecValue/roundtrip/dut_000.sv | 4 | 7 | \ No newline at end of file diff --git a/tests/DefParamIndex/DefParamIndex.log b/tests/DefParamIndex/DefParamIndex.log index 3d6d5ece29..1103f02bff 100644 --- a/tests/DefParamIndex/DefParamIndex.log +++ b/tests/DefParamIndex/DefParamIndex.log @@ -978,6 +978,5 @@ design: (work@hierdefparam_top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DefParamIndex/dut.sv | ${SURELOG_DIR}/build/regression/DefParamIndex/roundtrip/dut_000.sv | 21 | 33 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DefParamIndex/dut.sv | ${SURELOG_DIR}/build/regression/DefParamIndex/roundtrip/dut_000.sv | 21 | 33 | \ No newline at end of file diff --git a/tests/DefaultAssign/DefaultAssign.log b/tests/DefaultAssign/DefaultAssign.log index dd2d36071d..627172420e 100644 --- a/tests/DefaultAssign/DefaultAssign.log +++ b/tests/DefaultAssign/DefaultAssign.log @@ -698,6 +698,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DefaultAssign/dut.sv | ${SURELOG_DIR}/build/regression/DefaultAssign/roundtrip/dut_000.sv | 2 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DefaultAssign/dut.sv | ${SURELOG_DIR}/build/regression/DefaultAssign/roundtrip/dut_000.sv | 2 | 14 | \ No newline at end of file diff --git a/tests/DefaultNetType/DefaultNetType.log b/tests/DefaultNetType/DefaultNetType.log index 0e4bc4bb20..6df993853f 100644 --- a/tests/DefaultNetType/DefaultNetType.log +++ b/tests/DefaultNetType/DefaultNetType.log @@ -1555,6 +1555,5 @@ design: (work@ok) [WARNING] : 4 [ NOTE] : 8 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DefaultNetType/dut.sv | ${SURELOG_DIR}/build/regression/DefaultNetType/roundtrip/dut_000.sv | 9 | 49 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DefaultNetType/dut.sv | ${SURELOG_DIR}/build/regression/DefaultNetType/roundtrip/dut_000.sv | 9 | 49 | \ No newline at end of file diff --git a/tests/DefaultPatternAssign/DefaultPatternAssign.log b/tests/DefaultPatternAssign/DefaultPatternAssign.log index 8fbb350b06..530e22739c 100644 --- a/tests/DefaultPatternAssign/DefaultPatternAssign.log +++ b/tests/DefaultPatternAssign/DefaultPatternAssign.log @@ -962,6 +962,5 @@ design: (work@top) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DefaultPatternAssign/dut.sv | ${SURELOG_DIR}/build/regression/DefaultPatternAssign/roundtrip/dut_000.sv | 7 | 25 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DefaultPatternAssign/dut.sv | ${SURELOG_DIR}/build/regression/DefaultPatternAssign/roundtrip/dut_000.sv | 7 | 25 | \ No newline at end of file diff --git a/tests/DefaultTag/DefaultTag.log b/tests/DefaultTag/DefaultTag.log index 88b18e8522..6781857e10 100644 --- a/tests/DefaultTag/DefaultTag.log +++ b/tests/DefaultTag/DefaultTag.log @@ -1055,6 +1055,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DefaultTag/dut.sv | ${SURELOG_DIR}/build/regression/DefaultTag/roundtrip/dut_000.sv | 0 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DefaultTag/dut.sv | ${SURELOG_DIR}/build/regression/DefaultTag/roundtrip/dut_000.sv | 0 | 9 | \ No newline at end of file diff --git a/tests/DeferAssert/DeferAssert.log b/tests/DeferAssert/DeferAssert.log index 1b916ca658..042311c6af 100644 --- a/tests/DeferAssert/DeferAssert.log +++ b/tests/DeferAssert/DeferAssert.log @@ -176,6 +176,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DeferAssert/dut.sv | ${SURELOG_DIR}/build/regression/DeferAssert/roundtrip/dut_000.sv | 2 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DeferAssert/dut.sv | ${SURELOG_DIR}/build/regression/DeferAssert/roundtrip/dut_000.sv | 2 | 4 | \ No newline at end of file diff --git a/tests/Delay2Param/Delay2Param.log b/tests/Delay2Param/Delay2Param.log index 940cbf0242..e2913a3183 100644 --- a/tests/Delay2Param/Delay2Param.log +++ b/tests/Delay2Param/Delay2Param.log @@ -1650,14 +1650,5 @@ design: (work@iNToRecFN) [WARNING] : 6 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv:18:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv:19:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv:14:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv:27:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv:27:1: Non synthesizable construct, bg -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv | ${SURELOG_DIR}/build/regression/Delay2Param/roundtrip/dut_000.sv | 15 | 29 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Delay2Param/dut.sv | ${SURELOG_DIR}/build/regression/Delay2Param/roundtrip/dut_000.sv | 15 | 29 | \ No newline at end of file diff --git a/tests/DelayAssign/DelayAssign.log b/tests/DelayAssign/DelayAssign.log index 58630d72cd..617f47f7c5 100644 --- a/tests/DelayAssign/DelayAssign.log +++ b/tests/DelayAssign/DelayAssign.log @@ -4335,7 +4335,6 @@ design: (work@SimDTM) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/DelayAssign/builtin.sv | ${SURELOG_DIR}/build/regression/DelayAssign/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/DelayAssign/dut.sv | ${SURELOG_DIR}/build/regression/DelayAssign/roundtrip/dut_000.sv | 39 | 79 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/DelayAssign/dut.sv | ${SURELOG_DIR}/build/regression/DelayAssign/roundtrip/dut_000.sv | 39 | 79 | \ No newline at end of file diff --git a/tests/Disable/Disable.log b/tests/Disable/Disable.log index 35ff1f6ebe..60d44202e9 100644 --- a/tests/Disable/Disable.log +++ b/tests/Disable/Disable.log @@ -185,13 +185,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Disable/dut.sv:4:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Disable/dut.sv:5:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Disable/dut.sv:4:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Disable/dut.sv:5:10: Non synthesizable construct, -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Disable/dut.sv | ${SURELOG_DIR}/build/regression/Disable/roundtrip/dut_000.sv | 2 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Disable/dut.sv | ${SURELOG_DIR}/build/regression/Disable/roundtrip/dut_000.sv | 2 | 8 | \ No newline at end of file diff --git a/tests/DoWhile/DoWhile.log b/tests/DoWhile/DoWhile.log index 5e3451569e..be79d3bda1 100644 --- a/tests/DoWhile/DoWhile.log +++ b/tests/DoWhile/DoWhile.log @@ -1520,16 +1520,6 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/DoWhile/dut.sv:10:27: Non synthesizable construct, next -[LINT]: ${SURELOG_DIR}/tests/DoWhile/dut.sv:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DoWhile/dut.sv:8:8: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DoWhile/dut.sv:10:27: Non synthesizable construct, next -[LINT]: ${SURELOG_DIR}/tests/DoWhile/dut.sv:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DoWhile/dut.sv:8:8: Non synthesizable construct, push_back -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/DoWhile/builtin.sv | ${SURELOG_DIR}/build/regression/DoWhile/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/DoWhile/dut.sv | ${SURELOG_DIR}/build/regression/DoWhile/roundtrip/dut_000.sv | 1 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/DoWhile/dut.sv | ${SURELOG_DIR}/build/regression/DoWhile/roundtrip/dut_000.sv | 1 | 14 | \ No newline at end of file diff --git a/tests/DollarBits/DollarBits.log b/tests/DollarBits/DollarBits.log index 889f44b902..c4c550cf29 100644 --- a/tests/DollarBits/DollarBits.log +++ b/tests/DollarBits/DollarBits.log @@ -1000,6 +1000,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DollarBits/dut.sv | ${SURELOG_DIR}/build/regression/DollarBits/roundtrip/dut_000.sv | 5 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DollarBits/dut.sv | ${SURELOG_DIR}/build/regression/DollarBits/roundtrip/dut_000.sv | 5 | 9 | \ No newline at end of file diff --git a/tests/DollarBitsUnary/DollarBitsUnary.log b/tests/DollarBitsUnary/DollarBitsUnary.log index 0e33553567..d8e3573c3d 100644 --- a/tests/DollarBitsUnary/DollarBitsUnary.log +++ b/tests/DollarBitsUnary/DollarBitsUnary.log @@ -596,6 +596,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DollarBitsUnary/dut.sv | ${SURELOG_DIR}/build/regression/DollarBitsUnary/roundtrip/dut_000.sv | 2 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DollarBitsUnary/dut.sv | ${SURELOG_DIR}/build/regression/DollarBitsUnary/roundtrip/dut_000.sv | 2 | 9 | \ No newline at end of file diff --git a/tests/DollarRoot/DollarRoot.log b/tests/DollarRoot/DollarRoot.log index e253defcb5..8fc8f85713 100644 --- a/tests/DollarRoot/DollarRoot.log +++ b/tests/DollarRoot/DollarRoot.log @@ -28420,63 +28420,3 @@ design: (work@top) [ ERROR] : 28 [WARNING] : 3 [ NOTE] : 8 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:557:24: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:558:24: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:586:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:592:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:595:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:605:20: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:637:51: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:639:50: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:651:46: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:653:45: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:694:52: Non synthesizable construct, delete -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:700:59: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:706:51: Non synthesizable construct, delete -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:712:58: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:748:13: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:754:13: Non synthesizable construct, assert_fail -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:768:30: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:781:49: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:517:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:254:42: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:291:32: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:303:39: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:397:42: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:434:32: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:446:39: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:526:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:517:7: Non synthesizable construct, assert_fail -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:557:24: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:558:24: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:586:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:592:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:595:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:605:20: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:637:51: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:639:50: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:651:46: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:653:45: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:694:52: Non synthesizable construct, delete -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:700:59: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:706:51: Non synthesizable construct, delete -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:712:58: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:748:13: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:754:13: Non synthesizable construct, assert_fail -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:768:30: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:781:49: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:254:42: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:291:32: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:303:39: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:397:42: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:434:32: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:446:39: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/DollarRoot/dut.sv:526:7: Non synthesizable construct, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -============================== End Linting Results ============================== diff --git a/tests/DoubleLoop/DoubleLoop.log b/tests/DoubleLoop/DoubleLoop.log index 016501ab07..51af10bacb 100644 --- a/tests/DoubleLoop/DoubleLoop.log +++ b/tests/DoubleLoop/DoubleLoop.log @@ -3215,6 +3215,5 @@ design: (work@constpower1) [WARNING] : 5 [ NOTE] : 15 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DoubleLoop/dut.sv | ${SURELOG_DIR}/build/regression/DoubleLoop/roundtrip/dut_000.sv | 40 | 88 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DoubleLoop/dut.sv | ${SURELOG_DIR}/build/regression/DoubleLoop/roundtrip/dut_000.sv | 40 | 88 | \ No newline at end of file diff --git a/tests/DoublePres/DoublePres.log b/tests/DoublePres/DoublePres.log index 2cfebb46b7..7573aff9af 100644 --- a/tests/DoublePres/DoublePres.log +++ b/tests/DoublePres/DoublePres.log @@ -3702,9 +3702,3 @@ design: (work@top) [ ERROR] : 2 [WARNING] : 18 [ NOTE] : 7 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/DoublePres/dut.sv:9:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DoublePres/dut.sv:9:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DoublePres/dut.sv:15:11: Non synthesizable construct, r -============================== End Linting Results ============================== diff --git a/tests/DpiChandle/DpiChandle.log b/tests/DpiChandle/DpiChandle.log index 34be6846ca..797cb1a34c 100644 --- a/tests/DpiChandle/DpiChandle.log +++ b/tests/DpiChandle/DpiChandle.log @@ -1185,13 +1185,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/DpiChandle/dut.sv:3:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DpiChandle/dut.sv:3:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/DpiChandle/dut.sv:6:28: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/DpiChandle/builtin.sv | ${SURELOG_DIR}/build/regression/DpiChandle/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/DpiChandle/dut.sv | ${SURELOG_DIR}/build/regression/DpiChandle/roundtrip/dut_000.sv | 4 | 7 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/DpiChandle/dut.sv | ${SURELOG_DIR}/build/regression/DpiChandle/roundtrip/dut_000.sv | 4 | 7 | \ No newline at end of file diff --git a/tests/DpiFunc/DpiFunc.log b/tests/DpiFunc/DpiFunc.log index 48401cd993..d878c52313 100644 --- a/tests/DpiFunc/DpiFunc.log +++ b/tests/DpiFunc/DpiFunc.log @@ -1155,7 +1155,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/DpiFunc/builtin.sv | ${SURELOG_DIR}/build/regression/DpiFunc/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/DpiFunc/dut.sv | ${SURELOG_DIR}/build/regression/DpiFunc/roundtrip/dut_000.sv | 3 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/DpiFunc/dut.sv | ${SURELOG_DIR}/build/regression/DpiFunc/roundtrip/dut_000.sv | 3 | 6 | \ No newline at end of file diff --git a/tests/DpiTask/DpiTask.log b/tests/DpiTask/DpiTask.log index 4aad675301..546e5516f3 100644 --- a/tests/DpiTask/DpiTask.log +++ b/tests/DpiTask/DpiTask.log @@ -1197,7 +1197,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/DpiTask/builtin.sv | ${SURELOG_DIR}/build/regression/DpiTask/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/DpiTask/dut.sv | ${SURELOG_DIR}/build/regression/DpiTask/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/DpiTask/dut.sv | ${SURELOG_DIR}/build/regression/DpiTask/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/DynArrayKind/DynArrayKind.log b/tests/DynArrayKind/DynArrayKind.log index 142c4b2b03..2567b4f467 100644 --- a/tests/DynArrayKind/DynArrayKind.log +++ b/tests/DynArrayKind/DynArrayKind.log @@ -677,6 +677,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/DynArrayKind/dut.sv | ${SURELOG_DIR}/build/regression/DynArrayKind/roundtrip/dut_000.sv | 7 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/DynArrayKind/dut.sv | ${SURELOG_DIR}/build/regression/DynArrayKind/roundtrip/dut_000.sv | 7 | 9 | \ No newline at end of file diff --git a/tests/EarlgreyPackParam/EarlgreyPackParam.log b/tests/EarlgreyPackParam/EarlgreyPackParam.log index 9b17453c0e..7da109817b 100644 --- a/tests/EarlgreyPackParam/EarlgreyPackParam.log +++ b/tests/EarlgreyPackParam/EarlgreyPackParam.log @@ -2388,6 +2388,5 @@ design: (work@test) [WARNING] : 7 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EarlgreyPackParam/dut.sv | ${SURELOG_DIR}/build/regression/EarlgreyPackParam/roundtrip/dut_000.sv | 27 | 60 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EarlgreyPackParam/dut.sv | ${SURELOG_DIR}/build/regression/EarlgreyPackParam/roundtrip/dut_000.sv | 27 | 60 | \ No newline at end of file diff --git a/tests/ElabCParam/ElabCParam.log b/tests/ElabCParam/ElabCParam.log index 1f57f8178f..10e0dc73d5 100644 --- a/tests/ElabCParam/ElabCParam.log +++ b/tests/ElabCParam/ElabCParam.log @@ -4087,7 +4087,6 @@ design: (work@socket_1n) [WARNING] : 4 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ElabCParam/builtin.sv | ${SURELOG_DIR}/build/regression/ElabCParam/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ElabCParam/dut.sv | ${SURELOG_DIR}/build/regression/ElabCParam/roundtrip/dut_000.sv | 28 | 67 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ElabCParam/dut.sv | ${SURELOG_DIR}/build/regression/ElabCParam/roundtrip/dut_000.sv | 28 | 67 | \ No newline at end of file diff --git a/tests/ElabIf/ElabIf.log b/tests/ElabIf/ElabIf.log index 425546ac5b..94f6f0a60c 100644 --- a/tests/ElabIf/ElabIf.log +++ b/tests/ElabIf/ElabIf.log @@ -1271,7 +1271,6 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ElabIf/builtin.sv | ${SURELOG_DIR}/build/regression/ElabIf/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ElabIf/dut.sv | ${SURELOG_DIR}/build/regression/ElabIf/roundtrip/dut_000.sv | 7 | 15 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ElabIf/dut.sv | ${SURELOG_DIR}/build/regression/ElabIf/roundtrip/dut_000.sv | 7 | 15 | \ No newline at end of file diff --git a/tests/ElabParam/ElabParam.log b/tests/ElabParam/ElabParam.log index 51c4636016..68f37c0f5b 100644 --- a/tests/ElabParam/ElabParam.log +++ b/tests/ElabParam/ElabParam.log @@ -1939,7 +1939,6 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ElabParam/builtin.sv | ${SURELOG_DIR}/build/regression/ElabParam/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ElabParam/dut.sv | ${SURELOG_DIR}/build/regression/ElabParam/roundtrip/dut_000.sv | 6 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ElabParam/dut.sv | ${SURELOG_DIR}/build/regression/ElabParam/roundtrip/dut_000.sv | 6 | 18 | \ No newline at end of file diff --git a/tests/ElabSysCall/ElabSysCall.log b/tests/ElabSysCall/ElabSysCall.log index fc47c2e0e7..1cc37ef72d 100644 --- a/tests/ElabSysCall/ElabSysCall.log +++ b/tests/ElabSysCall/ElabSysCall.log @@ -433,6 +433,5 @@ design: (work@top_fatal) [WARNING] : 5 [ NOTE] : 9 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ElabSysCall/dut.sv | ${SURELOG_DIR}/build/regression/ElabSysCall/roundtrip/dut_000.sv | 12 | 24 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ElabSysCall/dut.sv | ${SURELOG_DIR}/build/regression/ElabSysCall/roundtrip/dut_000.sv | 12 | 24 | \ No newline at end of file diff --git a/tests/EmptyAssign/EmptyAssign.log b/tests/EmptyAssign/EmptyAssign.log index e137541442..f73988616d 100644 --- a/tests/EmptyAssign/EmptyAssign.log +++ b/tests/EmptyAssign/EmptyAssign.log @@ -663,6 +663,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EmptyAssign/dut.sv | ${SURELOG_DIR}/build/regression/EmptyAssign/roundtrip/dut_000.sv | 2 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EmptyAssign/dut.sv | ${SURELOG_DIR}/build/regression/EmptyAssign/roundtrip/dut_000.sv | 2 | 17 | \ No newline at end of file diff --git a/tests/EnumConcat/EnumConcat.log b/tests/EnumConcat/EnumConcat.log index 4cbc2c6a66..12b292bd92 100644 --- a/tests/EnumConcat/EnumConcat.log +++ b/tests/EnumConcat/EnumConcat.log @@ -289,6 +289,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumConcat/dut.sv | ${SURELOG_DIR}/build/regression/EnumConcat/roundtrip/dut_000.sv | 3 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumConcat/dut.sv | ${SURELOG_DIR}/build/regression/EnumConcat/roundtrip/dut_000.sv | 3 | 15 | \ No newline at end of file diff --git a/tests/EnumConst/EnumConst.log b/tests/EnumConst/EnumConst.log index 6b68932743..5ef6f6a99f 100644 --- a/tests/EnumConst/EnumConst.log +++ b/tests/EnumConst/EnumConst.log @@ -181,6 +181,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumConst/dut.sv | ${SURELOG_DIR}/build/regression/EnumConst/roundtrip/dut_000.sv | 0 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumConst/dut.sv | ${SURELOG_DIR}/build/regression/EnumConst/roundtrip/dut_000.sv | 0 | 7 | \ No newline at end of file diff --git a/tests/EnumConstConcat/EnumConstConcat.log b/tests/EnumConstConcat/EnumConstConcat.log index 88a6ed3f44..6f47ed94ce 100644 --- a/tests/EnumConstConcat/EnumConstConcat.log +++ b/tests/EnumConstConcat/EnumConstConcat.log @@ -644,6 +644,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumConstConcat/dut.sv | ${SURELOG_DIR}/build/regression/EnumConstConcat/roundtrip/dut_000.sv | 3 | 28 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumConstConcat/dut.sv | ${SURELOG_DIR}/build/regression/EnumConstConcat/roundtrip/dut_000.sv | 3 | 28 | \ No newline at end of file diff --git a/tests/EnumConstElab/EnumConstElab.log b/tests/EnumConstElab/EnumConstElab.log index 6e18c82c72..dbd299cb7d 100644 --- a/tests/EnumConstElab/EnumConstElab.log +++ b/tests/EnumConstElab/EnumConstElab.log @@ -1725,6 +1725,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumConstElab/dut.sv | ${SURELOG_DIR}/build/regression/EnumConstElab/roundtrip/dut_000.sv | 9 | 44 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumConstElab/dut.sv | ${SURELOG_DIR}/build/regression/EnumConstElab/roundtrip/dut_000.sv | 9 | 44 | \ No newline at end of file diff --git a/tests/EnumVal/EnumVal.log b/tests/EnumVal/EnumVal.log index 7b4d6204e6..ec4c85e1dd 100644 --- a/tests/EnumVal/EnumVal.log +++ b/tests/EnumVal/EnumVal.log @@ -400,6 +400,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumVal/dut.sv | ${SURELOG_DIR}/build/regression/EnumVal/roundtrip/dut_000.sv | 0 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumVal/dut.sv | ${SURELOG_DIR}/build/regression/EnumVal/roundtrip/dut_000.sv | 0 | 16 | \ No newline at end of file diff --git a/tests/EnumVar/EnumVar.log b/tests/EnumVar/EnumVar.log index df753ea592..1b428b0fd6 100644 --- a/tests/EnumVar/EnumVar.log +++ b/tests/EnumVar/EnumVar.log @@ -428,6 +428,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumVar/dut.sv | ${SURELOG_DIR}/build/regression/EnumVar/roundtrip/dut_000.sv | 3 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumVar/dut.sv | ${SURELOG_DIR}/build/regression/EnumVar/roundtrip/dut_000.sv | 3 | 14 | \ No newline at end of file diff --git a/tests/EnumVarNoTypedef/EnumVarNoTypedef.log b/tests/EnumVarNoTypedef/EnumVarNoTypedef.log index 9063f86550..7c05417959 100644 --- a/tests/EnumVarNoTypedef/EnumVarNoTypedef.log +++ b/tests/EnumVarNoTypedef/EnumVarNoTypedef.log @@ -226,6 +226,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/EnumVarNoTypedef/dut.sv | ${SURELOG_DIR}/build/regression/EnumVarNoTypedef/roundtrip/dut_000.sv | 3 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/EnumVarNoTypedef/dut.sv | ${SURELOG_DIR}/build/regression/EnumVarNoTypedef/roundtrip/dut_000.sv | 3 | 7 | \ No newline at end of file diff --git a/tests/Escape/Escape.log b/tests/Escape/Escape.log index 1188ac1d1a..033aa74212 100644 --- a/tests/Escape/Escape.log +++ b/tests/Escape/Escape.log @@ -130,18 +130,7 @@ unsupported_typespec 1 [WARNING] : 12 [ NOTE] : 8 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Escape/top.v:8:1: Non synthesizable construct, work@env -[LINT]: ${SURELOG_DIR}/tests/Escape/top1.v:8:11: Non synthesizable construct, select -[LINT]: ${SURELOG_DIR}/tests/Escape/top1.v:8:19: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/Escape/top1.v:7:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/Escape/top1.v:8:11: Non synthesizable construct, select -[LINT]: ${SURELOG_DIR}/tests/Escape/top1.v:8:19: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/Escape/top1.v:7:3: Non synthesizable construct, cb -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Escape/builtin.sv | ${SURELOG_DIR}/build/regression/Escape/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/Escape/top.v | ${SURELOG_DIR}/build/regression/Escape/roundtrip/top_000.v | 42 | 70 | -[roundtrip]: ${SURELOG_DIR}/tests/Escape/top1.v | ${SURELOG_DIR}/build/regression/Escape/roundtrip/top1_000.v | 22 | 39 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Escape/top1.v | ${SURELOG_DIR}/build/regression/Escape/roundtrip/top1_000.v | 22 | 39 | \ No newline at end of file diff --git a/tests/EvalFuncCont/EvalFuncCont.log b/tests/EvalFuncCont/EvalFuncCont.log index b45f53a173..a6cc49b0f6 100644 --- a/tests/EvalFuncCont/EvalFuncCont.log +++ b/tests/EvalFuncCont/EvalFuncCont.log @@ -2206,8 +2206,3 @@ design: (work@t) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/EvalFuncCont/dut.sv:32:7: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/EvalFuncCont/dut.sv:32:7: Non synthesizable construct, $write -============================== End Linting Results ============================== diff --git a/tests/Event/Event.log b/tests/Event/Event.log index 80b9cb91ed..df9d819426 100644 --- a/tests/Event/Event.log +++ b/tests/Event/Event.log @@ -116,11 +116,5 @@ design: (work@t) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Event/dut.sv:2:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Event/dut.sv:2:11: Non synthesizable construct, e -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Event/dut.sv | ${SURELOG_DIR}/build/regression/Event/roundtrip/dut_000.sv | 1 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Event/dut.sv | ${SURELOG_DIR}/build/regression/Event/roundtrip/dut_000.sv | 1 | 3 | \ No newline at end of file diff --git a/tests/ExpectStmt/ExpectStmt.log b/tests/ExpectStmt/ExpectStmt.log index 38f61448fe..807b036791 100644 --- a/tests/ExpectStmt/ExpectStmt.log +++ b/tests/ExpectStmt/ExpectStmt.log @@ -385,11 +385,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ExpectStmt/dut.sv:8:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ExpectStmt/dut.sv:8:13: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ExpectStmt/dut.sv | ${SURELOG_DIR}/build/regression/ExpectStmt/roundtrip/dut_000.sv | 1 | 11 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ExpectStmt/dut.sv | ${SURELOG_DIR}/build/regression/ExpectStmt/roundtrip/dut_000.sv | 1 | 11 | \ No newline at end of file diff --git a/tests/ExprEvalBits/ExprEvalBits.log b/tests/ExprEvalBits/ExprEvalBits.log index fb8043bb64..d1dc0239c3 100644 --- a/tests/ExprEvalBits/ExprEvalBits.log +++ b/tests/ExprEvalBits/ExprEvalBits.log @@ -438,6 +438,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ExprEvalBits/dut.sv | ${SURELOG_DIR}/build/regression/ExprEvalBits/roundtrip/dut_000.sv | 1 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ExprEvalBits/dut.sv | ${SURELOG_DIR}/build/regression/ExprEvalBits/roundtrip/dut_000.sv | 1 | 8 | \ No newline at end of file diff --git a/tests/ExprReductionBits/ExprReductionBits.log b/tests/ExprReductionBits/ExprReductionBits.log index ab59160eda..f1ede81348 100644 --- a/tests/ExprReductionBits/ExprReductionBits.log +++ b/tests/ExprReductionBits/ExprReductionBits.log @@ -438,6 +438,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ExprReductionBits/dut.sv | ${SURELOG_DIR}/build/regression/ExprReductionBits/roundtrip/dut_000.sv | 1 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ExprReductionBits/dut.sv | ${SURELOG_DIR}/build/regression/ExprReductionBits/roundtrip/dut_000.sv | 1 | 8 | \ No newline at end of file diff --git a/tests/ExtendClassMember/ExtendClassMember.log b/tests/ExtendClassMember/ExtendClassMember.log index c11dce9497..182e1679b1 100644 --- a/tests/ExtendClassMember/ExtendClassMember.log +++ b/tests/ExtendClassMember/ExtendClassMember.log @@ -547,20 +547,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:19:1: Non synthesizable construct, uvm_sequencer_param_base::new -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:3:1: Non synthesizable construct, uvm_tlm_fifo -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:9:75: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:9:1: Non synthesizable construct, uvm_sequencer_analysis_fifo -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:12:1: Non synthesizable construct, uvm_sequencer_param_base -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:9:48: Unsupported typespec, uvm_sequence_item -[LINT]: \_ ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:9:42: -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:12:45: Unsupported typespec, uvm_sequence_item -[LINT]: \_ ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:13:39: -[LINT]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:12:45: Unsupported typespec, uvm_sequence_item -[LINT]: \_ ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv:13:39: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv | ${SURELOG_DIR}/build/regression/ExtendClassMember/roundtrip/dut_000.sv | 11 | 26 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ExtendClassMember/dut.sv | ${SURELOG_DIR}/build/regression/ExtendClassMember/roundtrip/dut_000.sv | 11 | 26 | \ No newline at end of file diff --git a/tests/FSM2Always/FSM2Always.log b/tests/FSM2Always/FSM2Always.log index 03dec461ba..3baeceff52 100644 --- a/tests/FSM2Always/FSM2Always.log +++ b/tests/FSM2Always/FSM2Always.log @@ -4595,30 +4595,3 @@ design: (work@fsm_using_always) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:57:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:59:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:66:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:67:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:72:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:73:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:76:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:77:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:80:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:81:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:84:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:85:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:57:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:59:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:66:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:67:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:72:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:73:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:76:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:77:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:80:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:81:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:84:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSM2Always/top.sv:85:30: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/FSMBsp13/FSMBsp13.log b/tests/FSMBsp13/FSMBsp13.log index 9b48828b10..f2bf3c8ab2 100644 --- a/tests/FSMBsp13/FSMBsp13.log +++ b/tests/FSMBsp13/FSMBsp13.log @@ -27624,68 +27624,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 4 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:31:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:52:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:54:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:56:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:60:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:61:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:62:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:63:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:64:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:65:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:66:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:50:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:73:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:75:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:80:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:82:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:88:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:94:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:95:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:96:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:101:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:103:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:104:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:105:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:111:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:112:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:126:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:136:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:137:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:138:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:139:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:31:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:52:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:54:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:56:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:60:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:61:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:62:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:63:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:64:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:65:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:66:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:50:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:73:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:75:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:80:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:82:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:88:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:94:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:95:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:96:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:101:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:103:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:104:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:105:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:111:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:112:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:126:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:136:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:137:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:138:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMBsp13/top.v:139:5: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/FSMFunction/FSMFunction.log b/tests/FSMFunction/FSMFunction.log index ec1b74d8b3..be524fa6af 100644 --- a/tests/FSMFunction/FSMFunction.log +++ b/tests/FSMFunction/FSMFunction.log @@ -4820,30 +4820,3 @@ design: (work@fsm_using_function) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:60:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:62:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:69:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:70:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:75:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:76:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:79:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:80:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:83:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:84:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:87:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:88:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:60:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:62:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:69:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:70:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:75:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:76:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:79:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:80:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:83:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:84:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:87:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMFunction/top.sv:88:30: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/FSMSingleAlways/FSMSingleAlways.log b/tests/FSMSingleAlways/FSMSingleAlways.log index a75f5609db..5d6f3e0af9 100644 --- a/tests/FSMSingleAlways/FSMSingleAlways.log +++ b/tests/FSMSingleAlways/FSMSingleAlways.log @@ -3725,24 +3725,3 @@ design: (work@fsm_using_single_always) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:33:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:39:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:43:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:45:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:48:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:51:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:54:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:57:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:59:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:33:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:39:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:43:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:45:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:48:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:51:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:54:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:57:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FSMSingleAlways/top.sv:59:23: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/FilePackUnion/FilePackUnion.log b/tests/FilePackUnion/FilePackUnion.log index 76ee0462d3..a1fdd860d0 100644 --- a/tests/FilePackUnion/FilePackUnion.log +++ b/tests/FilePackUnion/FilePackUnion.log @@ -1263,6 +1263,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FilePackUnion/dut.sv | ${SURELOG_DIR}/build/regression/FilePackUnion/roundtrip/dut_000.sv | 8 | 38 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FilePackUnion/dut.sv | ${SURELOG_DIR}/build/regression/FilePackUnion/roundtrip/dut_000.sv | 8 | 38 | \ No newline at end of file diff --git a/tests/FilePackageImport/FilePackageImport.log b/tests/FilePackageImport/FilePackageImport.log index d58bba1bbb..cf20b59e27 100644 --- a/tests/FilePackageImport/FilePackageImport.log +++ b/tests/FilePackageImport/FilePackageImport.log @@ -308,6 +308,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FilePackageImport/dut.sv | ${SURELOG_DIR}/build/regression/FilePackageImport/roundtrip/dut_000.sv | 4 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FilePackageImport/dut.sv | ${SURELOG_DIR}/build/regression/FilePackageImport/roundtrip/dut_000.sv | 4 | 12 | \ No newline at end of file diff --git a/tests/FileResolutionFunction/FileResolutionFunction.log b/tests/FileResolutionFunction/FileResolutionFunction.log index 49676956f7..273e571318 100644 --- a/tests/FileResolutionFunction/FileResolutionFunction.log +++ b/tests/FileResolutionFunction/FileResolutionFunction.log @@ -157,13 +157,5 @@ design: (unnamed) [WARNING] : 0 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FileResolutionFunction/dut.sv:4:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FileResolutionFunction/dut.sv:4:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FileResolutionFunction/dut.sv:4:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FileResolutionFunction/dut.sv:2:9: Non synthesizable construct, my_real -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FileResolutionFunction/dut.sv | ${SURELOG_DIR}/build/regression/FileResolutionFunction/roundtrip/dut_000.sv | 2 | 5 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/FileResolutionFunction/dut.sv | ${SURELOG_DIR}/build/regression/FileResolutionFunction/roundtrip/dut_000.sv | 2 | 5 | \ No newline at end of file diff --git a/tests/FileTypespec/FileTypespec.log b/tests/FileTypespec/FileTypespec.log index 26cf5af9b7..9f13ca44a5 100644 --- a/tests/FileTypespec/FileTypespec.log +++ b/tests/FileTypespec/FileTypespec.log @@ -660,6 +660,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FileTypespec/dut.sv | ${SURELOG_DIR}/build/regression/FileTypespec/roundtrip/dut_000.sv | 5 | 27 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FileTypespec/dut.sv | ${SURELOG_DIR}/build/regression/FileTypespec/roundtrip/dut_000.sv | 5 | 27 | \ No newline at end of file diff --git a/tests/ForLoop/ForLoop.log b/tests/ForLoop/ForLoop.log index f007f24163..7d0204c6c2 100644 --- a/tests/ForLoop/ForLoop.log +++ b/tests/ForLoop/ForLoop.log @@ -4523,12 +4523,6 @@ design: (work@t) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ForLoop/dut.sv:24:6: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/ForLoop/dut.sv:24:6: Non synthesizable construct, $write -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/ForLoop/builtin.sv | ${SURELOG_DIR}/build/regression/ForLoop/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ForLoop/dut.sv | ${SURELOG_DIR}/build/regression/ForLoop/roundtrip/dut_000.sv | 13 | 28 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ForLoop/dut.sv | ${SURELOG_DIR}/build/regression/ForLoop/roundtrip/dut_000.sv | 13 | 28 | \ No newline at end of file diff --git a/tests/ForLoopBind/ForLoopBind.log b/tests/ForLoopBind/ForLoopBind.log index 46c6f20c30..21a78dd180 100644 --- a/tests/ForLoopBind/ForLoopBind.log +++ b/tests/ForLoopBind/ForLoopBind.log @@ -2279,16 +2279,6 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv:3:1: Non synthesizable construct, uvm_vreg_cb_iter -[LINT]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv:6:1: Non synthesizable construct, uvm_vreg_field_cb_iter -[LINT]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv:13:1: Non synthesizable construct, uvm_vreg_field_cbs -[LINT]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv:17:1: Non synthesizable construct, uvm_vreg_field -[LINT]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv:20:1: Non synthesizable construct, uvm_vreg -[LINT]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv:27:13: Unsupported typespec, fields -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/ForLoopBind/builtin.sv | ${SURELOG_DIR}/build/regression/ForLoopBind/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv | ${SURELOG_DIR}/build/regression/ForLoopBind/roundtrip/dut_000.sv | 23 | 36 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ForLoopBind/dut.sv | ${SURELOG_DIR}/build/regression/ForLoopBind/roundtrip/dut_000.sv | 23 | 36 | \ No newline at end of file diff --git a/tests/ForeachArray/ForeachArray.log b/tests/ForeachArray/ForeachArray.log index d04e321160..c2cff78c3c 100644 --- a/tests/ForeachArray/ForeachArray.log +++ b/tests/ForeachArray/ForeachArray.log @@ -394,6 +394,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ForeachArray/dut.sv | ${SURELOG_DIR}/build/regression/ForeachArray/roundtrip/dut_000.sv | 3 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ForeachArray/dut.sv | ${SURELOG_DIR}/build/regression/ForeachArray/roundtrip/dut_000.sv | 3 | 10 | \ No newline at end of file diff --git a/tests/ForeachClass/ForeachClass.log b/tests/ForeachClass/ForeachClass.log index 4f8b8f420f..34b3bdac33 100644 --- a/tests/ForeachClass/ForeachClass.log +++ b/tests/ForeachClass/ForeachClass.log @@ -1023,7 +1023,3 @@ design: (unnamed) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 4 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ForeachClass/dut.sv:3:3: Non synthesizable construct, uvm_reg_map -============================== End Linting Results ============================== diff --git a/tests/ForeachClassParent/ForeachClassParent.log b/tests/ForeachClassParent/ForeachClassParent.log index f60ed00578..911299a203 100644 --- a/tests/ForeachClassParent/ForeachClassParent.log +++ b/tests/ForeachClassParent/ForeachClassParent.log @@ -417,12 +417,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ForeachClassParent/dut.sv:7:1: Non synthesizable construct, uvm_phase -[LINT]: ${SURELOG_DIR}/tests/ForeachClassParent/dut.sv:3:1: Non synthesizable construct, root -[LINT]: ${SURELOG_DIR}/tests/ForeachClassParent/dut.sv:12:15: Unsupported typespec, m_type_overrides -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ForeachClassParent/dut.sv | ${SURELOG_DIR}/build/regression/ForeachClassParent/roundtrip/dut_000.sv | 7 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ForeachClassParent/dut.sv | ${SURELOG_DIR}/build/regression/ForeachClassParent/roundtrip/dut_000.sv | 7 | 18 | \ No newline at end of file diff --git a/tests/ForeachForeach/ForeachForeach.log b/tests/ForeachForeach/ForeachForeach.log index 7b6d383403..48aa56f238 100644 --- a/tests/ForeachForeach/ForeachForeach.log +++ b/tests/ForeachForeach/ForeachForeach.log @@ -1058,10 +1058,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ForeachForeach/dut.sv:3:1: Non synthesizable construct, uvm_phase -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ForeachForeach/dut.sv | ${SURELOG_DIR}/build/regression/ForeachForeach/roundtrip/dut_000.sv | 7 | 23 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ForeachForeach/dut.sv | ${SURELOG_DIR}/build/regression/ForeachForeach/roundtrip/dut_000.sv | 7 | 23 | \ No newline at end of file diff --git a/tests/ForeachFunction/ForeachFunction.log b/tests/ForeachFunction/ForeachFunction.log index c0ec0533dc..64103c8c64 100644 --- a/tests/ForeachFunction/ForeachFunction.log +++ b/tests/ForeachFunction/ForeachFunction.log @@ -473,6 +473,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ForeachFunction/dut.sv | ${SURELOG_DIR}/build/regression/ForeachFunction/roundtrip/dut_000.sv | 2 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ForeachFunction/dut.sv | ${SURELOG_DIR}/build/regression/ForeachFunction/roundtrip/dut_000.sv | 2 | 10 | \ No newline at end of file diff --git a/tests/ForeachSquare/ForeachSquare.log b/tests/ForeachSquare/ForeachSquare.log index ef8a711e0c..5de75d0133 100644 --- a/tests/ForeachSquare/ForeachSquare.log +++ b/tests/ForeachSquare/ForeachSquare.log @@ -579,6 +579,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ForeachSquare/dut.sv | ${SURELOG_DIR}/build/regression/ForeachSquare/roundtrip/dut_000.sv | 4 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ForeachSquare/dut.sv | ${SURELOG_DIR}/build/regression/ForeachSquare/roundtrip/dut_000.sv | 4 | 11 | \ No newline at end of file diff --git a/tests/FuncArgs/FuncArgs.log b/tests/FuncArgs/FuncArgs.log index 353596999f..1baac5ffd5 100644 --- a/tests/FuncArgs/FuncArgs.log +++ b/tests/FuncArgs/FuncArgs.log @@ -1625,6 +1625,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncArgs/dut.sv | ${SURELOG_DIR}/build/regression/FuncArgs/roundtrip/dut_000.sv | 7 | 25 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncArgs/dut.sv | ${SURELOG_DIR}/build/regression/FuncArgs/roundtrip/dut_000.sv | 7 | 25 | \ No newline at end of file diff --git a/tests/FuncArgsByName/FuncArgsByName.log b/tests/FuncArgsByName/FuncArgsByName.log index 6edd5c0e94..052b129beb 100644 --- a/tests/FuncArgsByName/FuncArgsByName.log +++ b/tests/FuncArgsByName/FuncArgsByName.log @@ -1378,7 +1378,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/FuncArgsByName/builtin.sv | ${SURELOG_DIR}/build/regression/FuncArgsByName/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/FuncArgsByName/dut.sv | ${SURELOG_DIR}/build/regression/FuncArgsByName/roundtrip/dut_000.sv | 5 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/FuncArgsByName/dut.sv | ${SURELOG_DIR}/build/regression/FuncArgsByName/roundtrip/dut_000.sv | 5 | 18 | \ No newline at end of file diff --git a/tests/FuncAttrib/FuncAttrib.log b/tests/FuncAttrib/FuncAttrib.log index 3f60d48856..0aa354c84d 100644 --- a/tests/FuncAttrib/FuncAttrib.log +++ b/tests/FuncAttrib/FuncAttrib.log @@ -1197,6 +1197,5 @@ design: (work@foo) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncAttrib/dut.sv | ${SURELOG_DIR}/build/regression/FuncAttrib/roundtrip/dut_000.sv | 10 | 22 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncAttrib/dut.sv | ${SURELOG_DIR}/build/regression/FuncAttrib/roundtrip/dut_000.sv | 10 | 22 | \ No newline at end of file diff --git a/tests/FuncBindGen/FuncBindGen.log b/tests/FuncBindGen/FuncBindGen.log index f6d8c577f4..6788c06b12 100644 --- a/tests/FuncBindGen/FuncBindGen.log +++ b/tests/FuncBindGen/FuncBindGen.log @@ -886,6 +886,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncBindGen/dut.sv | ${SURELOG_DIR}/build/regression/FuncBindGen/roundtrip/dut_000.sv | 8 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncBindGen/dut.sv | ${SURELOG_DIR}/build/regression/FuncBindGen/roundtrip/dut_000.sv | 8 | 14 | \ No newline at end of file diff --git a/tests/FuncBinding/FuncBinding.log b/tests/FuncBinding/FuncBinding.log index 9dfd4b305a..b07cf49298 100644 --- a/tests/FuncBinding/FuncBinding.log +++ b/tests/FuncBinding/FuncBinding.log @@ -1906,13 +1906,5 @@ design: (work@fsm_using_function) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FuncBinding/dut.sv:48:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FuncBinding/dut.sv:50:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FuncBinding/dut.sv:48:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/FuncBinding/dut.sv:50:19: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncBinding/dut.sv | ${SURELOG_DIR}/build/regression/FuncBinding/roundtrip/dut_000.sv | 14 | 53 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/FuncBinding/dut.sv | ${SURELOG_DIR}/build/regression/FuncBinding/roundtrip/dut_000.sv | 14 | 53 | \ No newline at end of file diff --git a/tests/FuncCase/FuncCase.log b/tests/FuncCase/FuncCase.log index 192a5ae89e..8b13aa4eef 100644 --- a/tests/FuncCase/FuncCase.log +++ b/tests/FuncCase/FuncCase.log @@ -688,6 +688,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 8 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncCase/dut.sv | ${SURELOG_DIR}/build/regression/FuncCase/roundtrip/dut_000.sv | 6 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncCase/dut.sv | ${SURELOG_DIR}/build/regression/FuncCase/roundtrip/dut_000.sv | 6 | 30 | \ No newline at end of file diff --git a/tests/FuncDeclScope/FuncDeclScope.log b/tests/FuncDeclScope/FuncDeclScope.log index 89b1d601f9..d4fd6f9f8c 100644 --- a/tests/FuncDeclScope/FuncDeclScope.log +++ b/tests/FuncDeclScope/FuncDeclScope.log @@ -1037,6 +1037,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncDeclScope/dut.sv | ${SURELOG_DIR}/build/regression/FuncDeclScope/roundtrip/dut_000.sv | 13 | 40 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncDeclScope/dut.sv | ${SURELOG_DIR}/build/regression/FuncDeclScope/roundtrip/dut_000.sv | 13 | 40 | \ No newline at end of file diff --git a/tests/FuncDef/FuncDef.log b/tests/FuncDef/FuncDef.log index e9ecf6f998..a0ee6ceb63 100644 --- a/tests/FuncDef/FuncDef.log +++ b/tests/FuncDef/FuncDef.log @@ -726,10 +726,5 @@ design: (work@mulAddRecFNToRaw_preMul) [WARNING] : 4 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FuncDef/dut.sv:13:5: Non synthesizable construct, countLeadingZeros_notCDom -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncDef/dut.sv | ${SURELOG_DIR}/build/regression/FuncDef/roundtrip/dut_000.sv | 5 | 16 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/FuncDef/dut.sv | ${SURELOG_DIR}/build/regression/FuncDef/roundtrip/dut_000.sv | 5 | 16 | \ No newline at end of file diff --git a/tests/FuncDef2/FuncDef2.log b/tests/FuncDef2/FuncDef2.log index 5d7095178f..97ba8667e4 100644 --- a/tests/FuncDef2/FuncDef2.log +++ b/tests/FuncDef2/FuncDef2.log @@ -32812,15 +32812,3 @@ design: (work@tnoc_vc_splitter) [ ERROR] : 11 [WARNING] : 2 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/FuncDef2/dut.sv:411:3: Unsupported typespec, tnoc_types -[LINT]: \_ ${SURELOG_DIR}/tests/FuncDef2/dut.sv:411:25: -[LINT]: ${SURELOG_DIR}/tests/FuncDef2/dut.sv:412:3: Unsupported typespec, tnoc_flit_if -[LINT]: \_ ${SURELOG_DIR}/tests/FuncDef2/dut.sv:412:25: -[LINT]: ${SURELOG_DIR}/tests/FuncDef2/dut.sv:413:3: Unsupported typespec, tnoc_flit_if -[LINT]: \_ ${SURELOG_DIR}/tests/FuncDef2/dut.sv:413:25: -[LINT]: ${SURELOG_DIR}/tests/FuncDef2/dut.sv:411:3: Unsupported typespec, tnoc_types -[LINT]: ${SURELOG_DIR}/tests/FuncDef2/dut.sv:412:3: Unsupported typespec, tnoc_flit_if -[LINT]: ${SURELOG_DIR}/tests/FuncDef2/dut.sv:413:3: Unsupported typespec, tnoc_flit_if -============================== End Linting Results ============================== diff --git a/tests/FuncDefaultVal/FuncDefaultVal.log b/tests/FuncDefaultVal/FuncDefaultVal.log index 72190a7156..4eb8b04f72 100644 --- a/tests/FuncDefaultVal/FuncDefaultVal.log +++ b/tests/FuncDefaultVal/FuncDefaultVal.log @@ -841,6 +841,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncDefaultVal/dut.sv | ${SURELOG_DIR}/build/regression/FuncDefaultVal/roundtrip/dut_000.sv | 4 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncDefaultVal/dut.sv | ${SURELOG_DIR}/build/regression/FuncDefaultVal/roundtrip/dut_000.sv | 4 | 10 | \ No newline at end of file diff --git a/tests/FuncParam/FuncParam.log b/tests/FuncParam/FuncParam.log index 12e0894d26..6edcf21103 100644 --- a/tests/FuncParam/FuncParam.log +++ b/tests/FuncParam/FuncParam.log @@ -1214,7 +1214,6 @@ design: (work@aes_prng) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/FuncParam/builtin.sv | ${SURELOG_DIR}/build/regression/FuncParam/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/FuncParam/dut.sv | ${SURELOG_DIR}/build/regression/FuncParam/roundtrip/dut_000.sv | 7 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/FuncParam/dut.sv | ${SURELOG_DIR}/build/regression/FuncParam/roundtrip/dut_000.sv | 7 | 19 | \ No newline at end of file diff --git a/tests/FuncParam2/FuncParam2.log b/tests/FuncParam2/FuncParam2.log index 9054426dc5..3b345326ea 100644 --- a/tests/FuncParam2/FuncParam2.log +++ b/tests/FuncParam2/FuncParam2.log @@ -950,7 +950,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/FuncParam2/builtin.sv | ${SURELOG_DIR}/build/regression/FuncParam2/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/FuncParam2/dut.sv | ${SURELOG_DIR}/build/regression/FuncParam2/roundtrip/dut_000.sv | 0 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/FuncParam2/dut.sv | ${SURELOG_DIR}/build/regression/FuncParam2/roundtrip/dut_000.sv | 0 | 6 | \ No newline at end of file diff --git a/tests/FuncRetArray/FuncRetArray.log b/tests/FuncRetArray/FuncRetArray.log index d14d3dccf6..11f3bd6c2c 100644 --- a/tests/FuncRetArray/FuncRetArray.log +++ b/tests/FuncRetArray/FuncRetArray.log @@ -1065,6 +1065,5 @@ design: (work@main) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncRetArray/dut.sv | ${SURELOG_DIR}/build/regression/FuncRetArray/roundtrip/dut_000.sv | 13 | 24 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncRetArray/dut.sv | ${SURELOG_DIR}/build/regression/FuncRetArray/roundtrip/dut_000.sv | 13 | 24 | \ No newline at end of file diff --git a/tests/FuncSideEffect/FuncSideEffect.log b/tests/FuncSideEffect/FuncSideEffect.log index fdd46291e8..8b613d4648 100644 --- a/tests/FuncSideEffect/FuncSideEffect.log +++ b/tests/FuncSideEffect/FuncSideEffect.log @@ -1433,6 +1433,5 @@ design: (work@const_fold_func_top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncSideEffect/dut.sv | ${SURELOG_DIR}/build/regression/FuncSideEffect/roundtrip/dut_000.sv | 8 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncSideEffect/dut.sv | ${SURELOG_DIR}/build/regression/FuncSideEffect/roundtrip/dut_000.sv | 8 | 23 | \ No newline at end of file diff --git a/tests/FuncStatic/FuncStatic.log b/tests/FuncStatic/FuncStatic.log index d298cd3f40..aecb8cfee3 100644 --- a/tests/FuncStatic/FuncStatic.log +++ b/tests/FuncStatic/FuncStatic.log @@ -1017,6 +1017,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/FuncStatic/dut.sv | ${SURELOG_DIR}/build/regression/FuncStatic/roundtrip/dut_000.sv | 6 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/FuncStatic/dut.sv | ${SURELOG_DIR}/build/regression/FuncStatic/roundtrip/dut_000.sv | 6 | 20 | \ No newline at end of file diff --git a/tests/GateLevel/GateLevel.log b/tests/GateLevel/GateLevel.log index d7b4fa7328..cdf6b0aef6 100644 --- a/tests/GateLevel/GateLevel.log +++ b/tests/GateLevel/GateLevel.log @@ -3607,11 +3607,6 @@ design: (work@LogicGates) [WARNING] : 2 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/GateLevel/dut.sv:18:8: Non synthesizable construct, a6 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/GateLevel/builtin.sv | ${SURELOG_DIR}/build/regression/GateLevel/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/GateLevel/dut.sv | ${SURELOG_DIR}/build/regression/GateLevel/roundtrip/dut_000.sv | 16 | 20 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/GateLevel/dut.sv | ${SURELOG_DIR}/build/regression/GateLevel/roundtrip/dut_000.sv | 16 | 20 | \ No newline at end of file diff --git a/tests/Gates/Gates.log b/tests/Gates/Gates.log index 96bb1e3719..ed777b58fe 100644 --- a/tests/Gates/Gates.log +++ b/tests/Gates/Gates.log @@ -13274,92 +13274,6 @@ design: (work@gates) [WARNING] : 11 [ NOTE] : 16 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:134:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:137:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:138:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:139:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:140:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:165:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:170:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:171:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:172:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:173:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:73:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:76:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:77:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:78:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:81:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:13:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:20:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:21:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:22:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:24:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:101:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:110:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:111:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:112:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:113:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:116:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:117:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:118:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:119:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:192:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:199:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:200:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:201:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:202:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:203:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:39:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:45:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:48:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:13:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:20:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:21:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:22:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:24:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:39:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:45:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:48:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:57:9: Non synthesizable construct, my_gate1 -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:58:10: Non synthesizable construct, my_gate2 -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:73:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:76:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:77:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:78:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:81:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:101:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:110:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:111:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:112:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:113:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:116:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:117:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:118:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:119:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:134:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:137:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:138:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:139:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:140:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:165:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:170:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:171:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:172:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:173:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:192:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:199:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:200:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:201:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:202:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Gates/dut.sv:203:3: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/Gates/builtin.sv | ${SURELOG_DIR}/build/regression/Gates/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Gates/dut.sv | ${SURELOG_DIR}/build/regression/Gates/roundtrip/dut_000.sv | 51 | 235 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Gates/dut.sv | ${SURELOG_DIR}/build/regression/Gates/roundtrip/dut_000.sv | 51 | 235 | \ No newline at end of file diff --git a/tests/GenBlockVar/GenBlockVar.log b/tests/GenBlockVar/GenBlockVar.log index 011f49509b..528c1f7155 100644 --- a/tests/GenBlockVar/GenBlockVar.log +++ b/tests/GenBlockVar/GenBlockVar.log @@ -1357,7 +1357,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/GenBlockVar/builtin.sv | ${SURELOG_DIR}/build/regression/GenBlockVar/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/GenBlockVar/dut.sv | ${SURELOG_DIR}/build/regression/GenBlockVar/roundtrip/dut_000.sv | 6 | 12 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/GenBlockVar/dut.sv | ${SURELOG_DIR}/build/regression/GenBlockVar/roundtrip/dut_000.sv | 6 | 12 | \ No newline at end of file diff --git a/tests/GenCase/GenCase.log b/tests/GenCase/GenCase.log index 4869bf4e85..b9873a1ff4 100644 --- a/tests/GenCase/GenCase.log +++ b/tests/GenCase/GenCase.log @@ -681,6 +681,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenCase/dut.sv | ${SURELOG_DIR}/build/regression/GenCase/roundtrip/dut_000.sv | 10 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenCase/dut.sv | ${SURELOG_DIR}/build/regression/GenCase/roundtrip/dut_000.sv | 10 | 19 | \ No newline at end of file diff --git a/tests/GenCaseStmt/GenCaseStmt.log b/tests/GenCaseStmt/GenCaseStmt.log index 302d0e9bcb..b93504f107 100644 --- a/tests/GenCaseStmt/GenCaseStmt.log +++ b/tests/GenCaseStmt/GenCaseStmt.log @@ -933,6 +933,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenCaseStmt/dut.sv | ${SURELOG_DIR}/build/regression/GenCaseStmt/roundtrip/dut_000.sv | 18 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenCaseStmt/dut.sv | ${SURELOG_DIR}/build/regression/GenCaseStmt/roundtrip/dut_000.sv | 18 | 30 | \ No newline at end of file diff --git a/tests/GenFor/GenFor.log b/tests/GenFor/GenFor.log index 901a9d9998..65c9f00fa0 100644 --- a/tests/GenFor/GenFor.log +++ b/tests/GenFor/GenFor.log @@ -550,6 +550,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenFor/dut.sv | ${SURELOG_DIR}/build/regression/GenFor/roundtrip/dut_000.sv | 2 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenFor/dut.sv | ${SURELOG_DIR}/build/regression/GenFor/roundtrip/dut_000.sv | 2 | 8 | \ No newline at end of file diff --git a/tests/GenForDec/GenForDec.log b/tests/GenForDec/GenForDec.log index 43abe3a90e..24096a7540 100644 --- a/tests/GenForDec/GenForDec.log +++ b/tests/GenForDec/GenForDec.log @@ -1559,6 +1559,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenForDec/dut.sv | ${SURELOG_DIR}/build/regression/GenForDec/roundtrip/dut_000.sv | 8 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenForDec/dut.sv | ${SURELOG_DIR}/build/regression/GenForDec/roundtrip/dut_000.sv | 8 | 20 | \ No newline at end of file diff --git a/tests/GenIf/GenIf.log b/tests/GenIf/GenIf.log index 48e918a8ca..b016d7d2c9 100644 --- a/tests/GenIf/GenIf.log +++ b/tests/GenIf/GenIf.log @@ -1301,7 +1301,6 @@ design: (work@gen_test4) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/GenIf/builtin.sv | ${SURELOG_DIR}/build/regression/GenIf/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/GenIf/dut.sv | ${SURELOG_DIR}/build/regression/GenIf/roundtrip/dut_000.sv | 9 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/GenIf/dut.sv | ${SURELOG_DIR}/build/regression/GenIf/roundtrip/dut_000.sv | 9 | 14 | \ No newline at end of file diff --git a/tests/GenIfElse/GenIfElse.log b/tests/GenIfElse/GenIfElse.log index a6ed7460c3..2e0c820b99 100644 --- a/tests/GenIfElse/GenIfElse.log +++ b/tests/GenIfElse/GenIfElse.log @@ -250,6 +250,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenIfElse/dut.sv | ${SURELOG_DIR}/build/regression/GenIfElse/roundtrip/dut_000.sv | 4 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenIfElse/dut.sv | ${SURELOG_DIR}/build/regression/GenIfElse/roundtrip/dut_000.sv | 4 | 10 | \ No newline at end of file diff --git a/tests/GenIfNamed/GenIfNamed.log b/tests/GenIfNamed/GenIfNamed.log index c345e4a99b..5252f9bea8 100644 --- a/tests/GenIfNamed/GenIfNamed.log +++ b/tests/GenIfNamed/GenIfNamed.log @@ -761,6 +761,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenIfNamed/dut.sv | ${SURELOG_DIR}/build/regression/GenIfNamed/roundtrip/dut_000.sv | 5 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenIfNamed/dut.sv | ${SURELOG_DIR}/build/regression/GenIfNamed/roundtrip/dut_000.sv | 5 | 13 | \ No newline at end of file diff --git a/tests/GenModHierPath/GenModHierPath.log b/tests/GenModHierPath/GenModHierPath.log index f209108ee5..071c65a48a 100644 --- a/tests/GenModHierPath/GenModHierPath.log +++ b/tests/GenModHierPath/GenModHierPath.log @@ -492,6 +492,5 @@ design: (work@InitializedBlockRAM) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenModHierPath/dut.sv | ${SURELOG_DIR}/build/regression/GenModHierPath/roundtrip/dut_000.sv | 6 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenModHierPath/dut.sv | ${SURELOG_DIR}/build/regression/GenModHierPath/roundtrip/dut_000.sv | 6 | 20 | \ No newline at end of file diff --git a/tests/GenNet/GenNet.log b/tests/GenNet/GenNet.log index 8904852470..563a0e0a3e 100644 --- a/tests/GenNet/GenNet.log +++ b/tests/GenNet/GenNet.log @@ -1001,6 +1001,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenNet/dut.sv | ${SURELOG_DIR}/build/regression/GenNet/roundtrip/dut_000.sv | 12 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenNet/dut.sv | ${SURELOG_DIR}/build/regression/GenNet/roundtrip/dut_000.sv | 12 | 23 | \ No newline at end of file diff --git a/tests/GenScopeFunc/GenScopeFunc.log b/tests/GenScopeFunc/GenScopeFunc.log index 39af66a7de..13fac16d77 100644 --- a/tests/GenScopeFunc/GenScopeFunc.log +++ b/tests/GenScopeFunc/GenScopeFunc.log @@ -492,11 +492,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/GenScopeFunc/dut.sv:11:23: Non synthesizable construct, local_function -[LINT]: ${SURELOG_DIR}/tests/GenScopeFunc/dut.sv:11:23: Non synthesizable construct, local_function -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenScopeFunc/dut.sv | ${SURELOG_DIR}/build/regression/GenScopeFunc/roundtrip/dut_000.sv | 8 | 16 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/GenScopeFunc/dut.sv | ${SURELOG_DIR}/build/regression/GenScopeFunc/roundtrip/dut_000.sv | 8 | 16 | \ No newline at end of file diff --git a/tests/GenScopeHierPath/GenScopeHierPath.log b/tests/GenScopeHierPath/GenScopeHierPath.log index 1b5d6bcb9d..4d3d148392 100644 --- a/tests/GenScopeHierPath/GenScopeHierPath.log +++ b/tests/GenScopeHierPath/GenScopeHierPath.log @@ -4712,6 +4712,5 @@ design: (work@top) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenScopeHierPath/dut.sv | ${SURELOG_DIR}/build/regression/GenScopeHierPath/roundtrip/dut_000.sv | 22 | 53 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenScopeHierPath/dut.sv | ${SURELOG_DIR}/build/regression/GenScopeHierPath/roundtrip/dut_000.sv | 22 | 53 | \ No newline at end of file diff --git a/tests/GenerateBlock/GenerateBlock.log b/tests/GenerateBlock/GenerateBlock.log index 5b26390c9e..9fe542bb26 100644 --- a/tests/GenerateBlock/GenerateBlock.log +++ b/tests/GenerateBlock/GenerateBlock.log @@ -904,6 +904,5 @@ design: (work@gen_test9) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/GenerateBlock/dut.sv | ${SURELOG_DIR}/build/regression/GenerateBlock/roundtrip/dut_000.sv | 16 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/GenerateBlock/dut.sv | ${SURELOG_DIR}/build/regression/GenerateBlock/roundtrip/dut_000.sv | 16 | 19 | \ No newline at end of file diff --git a/tests/GenerateInterface/GenerateInterface.log b/tests/GenerateInterface/GenerateInterface.log index ee05d043ac..34aeada305 100644 --- a/tests/GenerateInterface/GenerateInterface.log +++ b/tests/GenerateInterface/GenerateInterface.log @@ -2804,13 +2804,6 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 20 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/GenerateInterface/top.sv:7:7: Non synthesizable construct, abc_cb -[LINT]: ${SURELOG_DIR}/tests/GenerateInterface/top.sv:7:7: Non synthesizable construct, abc_cb -[LINT]: ${SURELOG_DIR}/tests/GenerateInterface/top.sv:7:7: Non synthesizable construct, abc_cb -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/GenerateInterface/builtin.sv | ${SURELOG_DIR}/build/regression/GenerateInterface/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/GenerateInterface/top.sv | ${SURELOG_DIR}/build/regression/GenerateInterface/roundtrip/top_000.sv | 21 | 43 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/GenerateInterface/top.sv | ${SURELOG_DIR}/build/regression/GenerateInterface/roundtrip/top_000.sv | 21 | 43 | \ No newline at end of file diff --git a/tests/Guards/Guards.log b/tests/Guards/Guards.log index b613a65812..d3e6f03332 100644 --- a/tests/Guards/Guards.log +++ b/tests/Guards/Guards.log @@ -325,7 +325,6 @@ task 9 [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Guards/builtin.sv | ${SURELOG_DIR}/build/regression/Guards/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Guards/rtl1/ast_pkg.sv | ${SURELOG_DIR}/build/regression/Guards/roundtrip/ast_pkg_000.sv | 3 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Guards/rtl1/ast_pkg.sv | ${SURELOG_DIR}/build/regression/Guards/roundtrip/ast_pkg_000.sv | 3 | 6 | \ No newline at end of file diff --git a/tests/HierBitSelect/HierBitSelect.log b/tests/HierBitSelect/HierBitSelect.log index 3d8bf8e0d4..fd4669f3be 100644 --- a/tests/HierBitSelect/HierBitSelect.log +++ b/tests/HierBitSelect/HierBitSelect.log @@ -395,6 +395,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierBitSelect/dut.sv | ${SURELOG_DIR}/build/regression/HierBitSelect/roundtrip/dut_000.sv | 3 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierBitSelect/dut.sv | ${SURELOG_DIR}/build/regression/HierBitSelect/roundtrip/dut_000.sv | 3 | 6 | \ No newline at end of file diff --git a/tests/HierBitSlice/HierBitSlice.log b/tests/HierBitSlice/HierBitSlice.log index 88326f8c1c..c4e50141a9 100644 --- a/tests/HierBitSlice/HierBitSlice.log +++ b/tests/HierBitSlice/HierBitSlice.log @@ -29020,49 +29020,3 @@ design: (work@int_execute_stage) [ ERROR] : 0 [WARNING] : 5 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:9:5: Unsupported typespec, scalar_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:9:14: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:16:5: Unsupported typespec, trap_cause_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:16:18: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:20:5: Unsupported typespec, register_idx_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:20:20: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:22:5: Unsupported typespec, register_idx_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:22:20: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:24:5: Unsupported typespec, register_idx_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:24:20: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:26:5: Unsupported typespec, register_idx_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:26:20: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:29:5: Unsupported typespec, register_idx_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:29:20: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:30:5: Unsupported typespec, alu_op_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:30:14: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:31:5: Unsupported typespec, mask_src_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:31:16: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:32:5: Unsupported typespec, op1_src_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:32:15: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:33:5: Unsupported typespec, op2_src_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:33:15: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:35:5: Unsupported typespec, scalar_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:35:14: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:37:5: Unsupported typespec, branch_type_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:37:19: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:39:5: Unsupported typespec, pipeline_sel_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:39:20: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:41:5: Unsupported typespec, memory_op_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:41:17: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:44:5: Unsupported typespec, subcycle_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:44:16: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:45:5: Unsupported typespec, control_register_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:45:24: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:47:5: Unsupported typespec, cache_op_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:47:16: -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:60:11: Unsupported typespec, vector_mask_t -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:63:11: Unsupported typespec, local_thread_idx_t -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:64:11: Unsupported typespec, subcycle_t -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:68:11: Unsupported typespec, local_thread_idx_t -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:74:12: Unsupported typespec, vector_mask_t -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:75:12: Unsupported typespec, local_thread_idx_t -[LINT]: ${SURELOG_DIR}/tests/HierBitSlice/dut.sv:78:12: Unsupported typespec, subcycle_t -============================== End Linting Results ============================== diff --git a/tests/HierMultiSelect/HierMultiSelect.log b/tests/HierMultiSelect/HierMultiSelect.log index f197090613..b802d811b7 100644 --- a/tests/HierMultiSelect/HierMultiSelect.log +++ b/tests/HierMultiSelect/HierMultiSelect.log @@ -896,7 +896,3 @@ design: (work@dm_csrs) [ ERROR] : 4 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/HierMultiSelect/dut.sv:7:14: Non synthesizable construct, and -============================== End Linting Results ============================== diff --git a/tests/HierPathBeginBlock/HierPathBeginBlock.log b/tests/HierPathBeginBlock/HierPathBeginBlock.log index da987ee772..bead9e1640 100644 --- a/tests/HierPathBeginBlock/HierPathBeginBlock.log +++ b/tests/HierPathBeginBlock/HierPathBeginBlock.log @@ -1551,6 +1551,5 @@ design: (work@matching_end_labels_top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathBeginBlock/dut.sv | ${SURELOG_DIR}/build/regression/HierPathBeginBlock/roundtrip/dut_000.sv | 17 | 33 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathBeginBlock/dut.sv | ${SURELOG_DIR}/build/regression/HierPathBeginBlock/roundtrip/dut_000.sv | 17 | 33 | \ No newline at end of file diff --git a/tests/HierPathBind/HierPathBind.log b/tests/HierPathBind/HierPathBind.log index e6672601fa..15b918cdf0 100644 --- a/tests/HierPathBind/HierPathBind.log +++ b/tests/HierPathBind/HierPathBind.log @@ -585,6 +585,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathBind/dut.sv | ${SURELOG_DIR}/build/regression/HierPathBind/roundtrip/dut_000.sv | 4 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathBind/dut.sv | ${SURELOG_DIR}/build/regression/HierPathBind/roundtrip/dut_000.sv | 4 | 15 | \ No newline at end of file diff --git a/tests/HierPathCont/HierPathCont.log b/tests/HierPathCont/HierPathCont.log index 55b346eae5..37238d1fac 100644 --- a/tests/HierPathCont/HierPathCont.log +++ b/tests/HierPathCont/HierPathCont.log @@ -907,6 +907,5 @@ design: (work@unsized_single_bit_1) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathCont/dut.sv | ${SURELOG_DIR}/build/regression/HierPathCont/roundtrip/dut_000.sv | 11 | 22 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathCont/dut.sv | ${SURELOG_DIR}/build/regression/HierPathCont/roundtrip/dut_000.sv | 11 | 22 | \ No newline at end of file diff --git a/tests/HierPathEval/HierPathEval.log b/tests/HierPathEval/HierPathEval.log index 3581977dbc..95c5d53241 100644 --- a/tests/HierPathEval/HierPathEval.log +++ b/tests/HierPathEval/HierPathEval.log @@ -1148,6 +1148,5 @@ design: (work@top) [WARNING] : 6 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathEval/dut.sv | ${SURELOG_DIR}/build/regression/HierPathEval/roundtrip/dut_000.sv | 20 | 55 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathEval/dut.sv | ${SURELOG_DIR}/build/regression/HierPathEval/roundtrip/dut_000.sv | 20 | 55 | \ No newline at end of file diff --git a/tests/HierPathInterfBlock/HierPathInterfBlock.log b/tests/HierPathInterfBlock/HierPathInterfBlock.log index 5737e14898..9006dc9d64 100644 --- a/tests/HierPathInterfBlock/HierPathInterfBlock.log +++ b/tests/HierPathInterfBlock/HierPathInterfBlock.log @@ -1060,23 +1060,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:24:22: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:25:20: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:26:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:27:22: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:28:26: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:29:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:30:28: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:24:22: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:25:20: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:26:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:27:22: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:28:26: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:29:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv:30:28: Non synthesizable construct, f -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv | ${SURELOG_DIR}/build/regression/HierPathInterfBlock/roundtrip/dut_000.sv | 14 | 32 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/HierPathInterfBlock/dut.sv | ${SURELOG_DIR}/build/regression/HierPathInterfBlock/roundtrip/dut_000.sv | 14 | 32 | \ No newline at end of file diff --git a/tests/HierPathModule/HierPathModule.log b/tests/HierPathModule/HierPathModule.log index 0b96adda95..3d64bec981 100644 --- a/tests/HierPathModule/HierPathModule.log +++ b/tests/HierPathModule/HierPathModule.log @@ -316,6 +316,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathModule/dut.sv | ${SURELOG_DIR}/build/regression/HierPathModule/roundtrip/dut_000.sv | 3 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathModule/dut.sv | ${SURELOG_DIR}/build/regression/HierPathModule/roundtrip/dut_000.sv | 3 | 13 | \ No newline at end of file diff --git a/tests/HierPathOverride/HierPathOverride.log b/tests/HierPathOverride/HierPathOverride.log index 28c54d8347..59a531da43 100644 --- a/tests/HierPathOverride/HierPathOverride.log +++ b/tests/HierPathOverride/HierPathOverride.log @@ -2770,6 +2770,5 @@ design: (work@top) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathOverride/dut.sv | ${SURELOG_DIR}/build/regression/HierPathOverride/roundtrip/dut_000.sv | 17 | 50 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathOverride/dut.sv | ${SURELOG_DIR}/build/regression/HierPathOverride/roundtrip/dut_000.sv | 17 | 50 | \ No newline at end of file diff --git a/tests/HierPathPackedVar/HierPathPackedVar.log b/tests/HierPathPackedVar/HierPathPackedVar.log index e76488bd14..9dbbf35b76 100644 --- a/tests/HierPathPackedVar/HierPathPackedVar.log +++ b/tests/HierPathPackedVar/HierPathPackedVar.log @@ -1395,14 +1395,3 @@ design: (work@axi_adapter_arbiter) [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:4:5: Unsupported typespec, ariane_axi::ad_req_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:4:26: -[LINT]: ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:5:5: Unsupported typespec, ariane_pkg::amo_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:5:26: -[LINT]: ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:4:5: Unsupported typespec, ariane_axi::ad_req_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:4:26: -[LINT]: ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:5:5: Unsupported typespec, ariane_pkg::amo_t -[LINT]: \_ ${SURELOG_DIR}/tests/HierPathPackedVar/dut.sv:5:26: -============================== End Linting Results ============================== diff --git a/tests/HierPathStruct/HierPathStruct.log b/tests/HierPathStruct/HierPathStruct.log index b349985997..2836514cee 100644 --- a/tests/HierPathStruct/HierPathStruct.log +++ b/tests/HierPathStruct/HierPathStruct.log @@ -528,6 +528,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathStruct/dut.sv | ${SURELOG_DIR}/build/regression/HierPathStruct/roundtrip/dut_000.sv | 2 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathStruct/dut.sv | ${SURELOG_DIR}/build/regression/HierPathStruct/roundtrip/dut_000.sv | 2 | 19 | \ No newline at end of file diff --git a/tests/HierPathTfArg/HierPathTfArg.log b/tests/HierPathTfArg/HierPathTfArg.log index 5bdd76a4d8..fbdf5fffca 100644 --- a/tests/HierPathTfArg/HierPathTfArg.log +++ b/tests/HierPathTfArg/HierPathTfArg.log @@ -1392,23 +1392,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:28:22: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:29:20: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:30:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:31:22: Non synthesizable construct, f3 -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:32:26: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:33:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:34:28: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:28:22: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:29:20: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:30:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:31:22: Non synthesizable construct, f3 -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:32:26: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:33:24: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv:34:28: Non synthesizable construct, f -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv | ${SURELOG_DIR}/build/regression/HierPathTfArg/roundtrip/dut_000.sv | 23 | 36 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/HierPathTfArg/dut.sv | ${SURELOG_DIR}/build/regression/HierPathTfArg/roundtrip/dut_000.sv | 23 | 36 | \ No newline at end of file diff --git a/tests/HierPathTypespec/HierPathTypespec.log b/tests/HierPathTypespec/HierPathTypespec.log index 95bf9946b3..cce81a0457 100644 --- a/tests/HierPathTypespec/HierPathTypespec.log +++ b/tests/HierPathTypespec/HierPathTypespec.log @@ -646,6 +646,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathTypespec/dut.sv | ${SURELOG_DIR}/build/regression/HierPathTypespec/roundtrip/dut_000.sv | 4 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathTypespec/dut.sv | ${SURELOG_DIR}/build/regression/HierPathTypespec/roundtrip/dut_000.sv | 4 | 14 | \ No newline at end of file diff --git a/tests/HierPathVarArray/HierPathVarArray.log b/tests/HierPathVarArray/HierPathVarArray.log index b1cf278561..70e8f28a86 100644 --- a/tests/HierPathVarArray/HierPathVarArray.log +++ b/tests/HierPathVarArray/HierPathVarArray.log @@ -616,6 +616,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HierPathVarArray/dut.sv | ${SURELOG_DIR}/build/regression/HierPathVarArray/roundtrip/dut_000.sv | 4 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HierPathVarArray/dut.sv | ${SURELOG_DIR}/build/regression/HierPathVarArray/roundtrip/dut_000.sv | 4 | 13 | \ No newline at end of file diff --git a/tests/HighConnPart/HighConnPart.log b/tests/HighConnPart/HighConnPart.log index 4c40a3424d..63f2dc6457 100644 --- a/tests/HighConnPart/HighConnPart.log +++ b/tests/HighConnPart/HighConnPart.log @@ -1502,6 +1502,5 @@ design: (work@Device) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HighConnPart/dut.sv | ${SURELOG_DIR}/build/regression/HighConnPart/roundtrip/dut_000.sv | 14 | 28 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HighConnPart/dut.sv | ${SURELOG_DIR}/build/regression/HighConnPart/roundtrip/dut_000.sv | 14 | 28 | \ No newline at end of file diff --git a/tests/HighLow/HighLow.log b/tests/HighLow/HighLow.log index 0fe426dfa5..33fb1e2b38 100644 --- a/tests/HighLow/HighLow.log +++ b/tests/HighLow/HighLow.log @@ -1110,6 +1110,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/HighLow/dut.sv | ${SURELOG_DIR}/build/regression/HighLow/roundtrip/dut_000.sv | 13 | 26 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/HighLow/dut.sv | ${SURELOG_DIR}/build/regression/HighLow/roundtrip/dut_000.sv | 13 | 26 | \ No newline at end of file diff --git a/tests/IOClassStruct/IOClassStruct.log b/tests/IOClassStruct/IOClassStruct.log index 6a943633f1..1995356eee 100644 --- a/tests/IOClassStruct/IOClassStruct.log +++ b/tests/IOClassStruct/IOClassStruct.log @@ -377,13 +377,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/IOClassStruct/dut.sv:16:35: Non synthesizable construct, access_record -[LINT]: ${SURELOG_DIR}/tests/IOClassStruct/dut.sv:16:35: Non synthesizable construct, access_record -[LINT]: ${SURELOG_DIR}/tests/IOClassStruct/dut.sv:12:1: Non synthesizable construct, uvm_resource_base -[LINT]: ${SURELOG_DIR}/tests/IOClassStruct/dut.sv:3:1: Non synthesizable construct, uvm_resource_types -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IOClassStruct/dut.sv | ${SURELOG_DIR}/build/regression/IOClassStruct/roundtrip/dut_000.sv | 15 | 30 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/IOClassStruct/dut.sv | ${SURELOG_DIR}/build/regression/IOClassStruct/roundtrip/dut_000.sv | 15 | 30 | \ No newline at end of file diff --git a/tests/IODataTypes/IODataTypes.log b/tests/IODataTypes/IODataTypes.log index 748506c4cf..adc9c82ff5 100644 --- a/tests/IODataTypes/IODataTypes.log +++ b/tests/IODataTypes/IODataTypes.log @@ -599,6 +599,5 @@ design: (work@dff_diffDataTypes) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IODataTypes/dut.sv | ${SURELOG_DIR}/build/regression/IODataTypes/roundtrip/dut_000.sv | 7 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IODataTypes/dut.sv | ${SURELOG_DIR}/build/regression/IODataTypes/roundtrip/dut_000.sv | 7 | 9 | \ No newline at end of file diff --git a/tests/IfElseGen/IfElseGen.log b/tests/IfElseGen/IfElseGen.log index de1a058744..5249e9569b 100644 --- a/tests/IfElseGen/IfElseGen.log +++ b/tests/IfElseGen/IfElseGen.log @@ -546,6 +546,5 @@ design: (work@dut1) [WARNING] : 4 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IfElseGen/dut.sv | ${SURELOG_DIR}/build/regression/IfElseGen/roundtrip/dut_000.sv | 10 | 24 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IfElseGen/dut.sv | ${SURELOG_DIR}/build/regression/IfElseGen/roundtrip/dut_000.sv | 10 | 24 | \ No newline at end of file diff --git a/tests/IfGenTypeBinding/IfGenTypeBinding.log b/tests/IfGenTypeBinding/IfGenTypeBinding.log index b5721badef..886342cff9 100644 --- a/tests/IfGenTypeBinding/IfGenTypeBinding.log +++ b/tests/IfGenTypeBinding/IfGenTypeBinding.log @@ -1770,7 +1770,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/IfGenTypeBinding/builtin.sv | ${SURELOG_DIR}/build/regression/IfGenTypeBinding/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/IfGenTypeBinding/dut.sv | ${SURELOG_DIR}/build/regression/IfGenTypeBinding/roundtrip/dut_000.sv | 5 | 24 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/IfGenTypeBinding/dut.sv | ${SURELOG_DIR}/build/regression/IfGenTypeBinding/roundtrip/dut_000.sv | 5 | 24 | \ No newline at end of file diff --git a/tests/IfGenenerate/test1/IfGen1.log b/tests/IfGenenerate/test1/IfGen1.log index e3587c6ab0..f1a09ffbf9 100644 --- a/tests/IfGenenerate/test1/IfGen1.log +++ b/tests/IfGenenerate/test1/IfGen1.log @@ -1361,6 +1361,5 @@ design: (work@lzc) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IfGenenerate/test1/dut1.sv | ${SURELOG_DIR}/build/regression/IfGen1/roundtrip/dut1_000.sv | 9 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IfGenenerate/test1/dut1.sv | ${SURELOG_DIR}/build/regression/IfGen1/roundtrip/dut1_000.sv | 9 | 19 | \ No newline at end of file diff --git a/tests/IfGenenerate/test2/IfGen2.log b/tests/IfGenenerate/test2/IfGen2.log index 0eab836808..52369636b5 100644 --- a/tests/IfGenenerate/test2/IfGen2.log +++ b/tests/IfGenenerate/test2/IfGen2.log @@ -1361,6 +1361,5 @@ design: (work@lzc) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IfGenenerate/test2/dut2.sv | ${SURELOG_DIR}/build/regression/IfGen2/roundtrip/dut2_000.sv | 9 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IfGenenerate/test2/dut2.sv | ${SURELOG_DIR}/build/regression/IfGen2/roundtrip/dut2_000.sv | 9 | 17 | \ No newline at end of file diff --git a/tests/IfGenenerate/test3/IfGen3.log b/tests/IfGenenerate/test3/IfGen3.log index 67c1638ed8..c1d73fb81a 100644 --- a/tests/IfGenenerate/test3/IfGen3.log +++ b/tests/IfGenenerate/test3/IfGen3.log @@ -1395,6 +1395,5 @@ design: (work@lzc) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IfGenenerate/test3/dut3.sv | ${SURELOG_DIR}/build/regression/IfGen3/roundtrip/dut3_000.sv | 11 | 21 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IfGenenerate/test3/dut3.sv | ${SURELOG_DIR}/build/regression/IfGen3/roundtrip/dut3_000.sv | 11 | 21 | \ No newline at end of file diff --git a/tests/Iff/Iff.log b/tests/Iff/Iff.log index 061ffaffc5..1571af73bb 100644 --- a/tests/Iff/Iff.log +++ b/tests/Iff/Iff.log @@ -568,6 +568,5 @@ design: (work@block_tb) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Iff/dut.sv | ${SURELOG_DIR}/build/regression/Iff/roundtrip/dut_000.sv | 4 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Iff/dut.sv | ${SURELOG_DIR}/build/regression/Iff/roundtrip/dut_000.sv | 4 | 8 | \ No newline at end of file diff --git a/tests/IllegalZeroValue/IllegalZeroValue.log b/tests/IllegalZeroValue/IllegalZeroValue.log index 893ebe22b7..4e1f67de7d 100644 --- a/tests/IllegalZeroValue/IllegalZeroValue.log +++ b/tests/IllegalZeroValue/IllegalZeroValue.log @@ -182,6 +182,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IllegalZeroValue/dut.sv | ${SURELOG_DIR}/build/regression/IllegalZeroValue/roundtrip/dut_000.sv | 1 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IllegalZeroValue/dut.sv | ${SURELOG_DIR}/build/regression/IllegalZeroValue/roundtrip/dut_000.sv | 1 | 5 | \ No newline at end of file diff --git a/tests/ImplFuncArg/ImplFuncArg.log b/tests/ImplFuncArg/ImplFuncArg.log index 95994619c8..93a5f55171 100644 --- a/tests/ImplFuncArg/ImplFuncArg.log +++ b/tests/ImplFuncArg/ImplFuncArg.log @@ -1259,7 +1259,6 @@ design: (work@fsm_2_always_block) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ImplFuncArg/builtin.sv | ${SURELOG_DIR}/build/regression/ImplFuncArg/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ImplFuncArg/dut.sv | ${SURELOG_DIR}/build/regression/ImplFuncArg/roundtrip/dut_000.sv | 1 | 8 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ImplFuncArg/dut.sv | ${SURELOG_DIR}/build/regression/ImplFuncArg/roundtrip/dut_000.sv | 1 | 8 | \ No newline at end of file diff --git a/tests/Implicit/Implicit.log b/tests/Implicit/Implicit.log index 2cb4f91b9c..57ac3ad169 100644 --- a/tests/Implicit/Implicit.log +++ b/tests/Implicit/Implicit.log @@ -452,6 +452,5 @@ design: (work@dff_from_nand), id:33 [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Implicit/dut.sv | ${SURELOG_DIR}/build/regression/Implicit/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Implicit/dut.sv | ${SURELOG_DIR}/build/regression/Implicit/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/ImplicitArg/ImplicitArg.log b/tests/ImplicitArg/ImplicitArg.log index ab9c51883d..7b8d66317c 100644 --- a/tests/ImplicitArg/ImplicitArg.log +++ b/tests/ImplicitArg/ImplicitArg.log @@ -230,6 +230,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitArg/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitArg/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitArg/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitArg/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/ImplicitFunc/ImplicitFunc.log b/tests/ImplicitFunc/ImplicitFunc.log index d3292905eb..b18b7366c5 100644 --- a/tests/ImplicitFunc/ImplicitFunc.log +++ b/tests/ImplicitFunc/ImplicitFunc.log @@ -196,6 +196,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitFunc/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitFunc/roundtrip/dut_000.sv | 1 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitFunc/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitFunc/roundtrip/dut_000.sv | 1 | 11 | \ No newline at end of file diff --git a/tests/ImplicitGenBlock/ImplicitGenBlock.log b/tests/ImplicitGenBlock/ImplicitGenBlock.log index 2effdd8f31..147a990f3c 100644 --- a/tests/ImplicitGenBlock/ImplicitGenBlock.log +++ b/tests/ImplicitGenBlock/ImplicitGenBlock.log @@ -1215,6 +1215,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitGenBlock/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitGenBlock/roundtrip/dut_000.sv | 46 | 74 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitGenBlock/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitGenBlock/roundtrip/dut_000.sv | 46 | 74 | \ No newline at end of file diff --git a/tests/ImplicitParam/ImplicitParam.log b/tests/ImplicitParam/ImplicitParam.log index 9b500738a1..c92413f00c 100644 --- a/tests/ImplicitParam/ImplicitParam.log +++ b/tests/ImplicitParam/ImplicitParam.log @@ -420,6 +420,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitParam/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitParam/roundtrip/dut_000.sv | 3 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitParam/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitParam/roundtrip/dut_000.sv | 3 | 4 | \ No newline at end of file diff --git a/tests/ImplicitPort/ImplicitPort.log b/tests/ImplicitPort/ImplicitPort.log index bda60f0653..8d3b4aa7b2 100644 --- a/tests/ImplicitPort/ImplicitPort.log +++ b/tests/ImplicitPort/ImplicitPort.log @@ -1546,7 +1546,6 @@ design: (work@add) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ImplicitPort/builtin.sv | ${SURELOG_DIR}/build/regression/ImplicitPort/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitPort/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitPort/roundtrip/dut_000.sv | 3 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitPort/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitPort/roundtrip/dut_000.sv | 3 | 6 | \ No newline at end of file diff --git a/tests/ImplicitPorts2/ImplicitPorts2.log b/tests/ImplicitPorts2/ImplicitPorts2.log index 9ed2ea41c8..578b5f73ba 100644 --- a/tests/ImplicitPorts2/ImplicitPorts2.log +++ b/tests/ImplicitPorts2/ImplicitPorts2.log @@ -527,6 +527,5 @@ design: (work@implicit) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitPorts2/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitPorts2/roundtrip/dut_000.sv | 6 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitPorts2/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitPorts2/roundtrip/dut_000.sv | 6 | 15 | \ No newline at end of file diff --git a/tests/ImplicitVarType/ImplicitVarType.log b/tests/ImplicitVarType/ImplicitVarType.log index 3d5485c7f5..c6804eff1a 100644 --- a/tests/ImplicitVarType/ImplicitVarType.log +++ b/tests/ImplicitVarType/ImplicitVarType.log @@ -136,6 +136,5 @@ design: (work@spidpi) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImplicitVarType/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitVarType/roundtrip/dut_000.sv | 2 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImplicitVarType/dut.sv | ${SURELOG_DIR}/build/regression/ImplicitVarType/roundtrip/dut_000.sv | 2 | 4 | \ No newline at end of file diff --git a/tests/ImportBinding/ImportBinding.log b/tests/ImportBinding/ImportBinding.log index fd688ace45..9b1e17582e 100644 --- a/tests/ImportBinding/ImportBinding.log +++ b/tests/ImportBinding/ImportBinding.log @@ -1208,6 +1208,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImportBinding/dut.sv | ${SURELOG_DIR}/build/regression/ImportBinding/roundtrip/dut_000.sv | 3 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImportBinding/dut.sv | ${SURELOG_DIR}/build/regression/ImportBinding/roundtrip/dut_000.sv | 3 | 19 | \ No newline at end of file diff --git a/tests/ImportPackage/ImportPackage.log b/tests/ImportPackage/ImportPackage.log index a3a4767a9b..0700885ad9 100644 --- a/tests/ImportPackage/ImportPackage.log +++ b/tests/ImportPackage/ImportPackage.log @@ -670,6 +670,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImportPackage/dut.sv | ${SURELOG_DIR}/build/regression/ImportPackage/roundtrip/dut_000.sv | 5 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImportPackage/dut.sv | ${SURELOG_DIR}/build/regression/ImportPackage/roundtrip/dut_000.sv | 5 | 19 | \ No newline at end of file diff --git a/tests/ImportedTypespec/ImportedTypespec.log b/tests/ImportedTypespec/ImportedTypespec.log index dcccf4b374..2132c81250 100644 --- a/tests/ImportedTypespec/ImportedTypespec.log +++ b/tests/ImportedTypespec/ImportedTypespec.log @@ -594,6 +594,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ImportedTypespec/dut.sv | ${SURELOG_DIR}/build/regression/ImportedTypespec/roundtrip/dut_000.sv | 3 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ImportedTypespec/dut.sv | ${SURELOG_DIR}/build/regression/ImportedTypespec/roundtrip/dut_000.sv | 3 | 10 | \ No newline at end of file diff --git a/tests/IncompFunc/IncompFunc.log b/tests/IncompFunc/IncompFunc.log index ddf1edae23..e242a74910 100644 --- a/tests/IncompFunc/IncompFunc.log +++ b/tests/IncompFunc/IncompFunc.log @@ -808,6 +808,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IncompFunc/dut.sv | ${SURELOG_DIR}/build/regression/IncompFunc/roundtrip/dut_000.sv | 6 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IncompFunc/dut.sv | ${SURELOG_DIR}/build/regression/IncompFunc/roundtrip/dut_000.sv | 6 | 14 | \ No newline at end of file diff --git a/tests/IndexAssign/IndexAssign.log b/tests/IndexAssign/IndexAssign.log index 05b1a68244..fbffae530d 100644 --- a/tests/IndexAssign/IndexAssign.log +++ b/tests/IndexAssign/IndexAssign.log @@ -346,6 +346,5 @@ design: (work@t) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IndexAssign/dut.sv | ${SURELOG_DIR}/build/regression/IndexAssign/roundtrip/dut_000.sv | 0 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IndexAssign/dut.sv | ${SURELOG_DIR}/build/regression/IndexAssign/roundtrip/dut_000.sv | 0 | 5 | \ No newline at end of file diff --git a/tests/IndexPartSelectBind/IndexPartSelectBind.log b/tests/IndexPartSelectBind/IndexPartSelectBind.log index 2189cdaa42..6761752cc6 100644 --- a/tests/IndexPartSelectBind/IndexPartSelectBind.log +++ b/tests/IndexPartSelectBind/IndexPartSelectBind.log @@ -675,13 +675,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/IndexPartSelectBind/dut.sv:8:1: Non synthesizable construct, tt -[LINT]: ${SURELOG_DIR}/tests/IndexPartSelectBind/dut.sv:5:3: Unsupported typespec, uvm_reg_data_t -[LINT]: \_ ${SURELOG_DIR}/tests/IndexPartSelectBind/dut.sv:5:18: -[LINT]: ${SURELOG_DIR}/tests/IndexPartSelectBind/dut.sv:10:18: Unsupported typespec, uvm_sequence_item -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IndexPartSelectBind/dut.sv | ${SURELOG_DIR}/build/regression/IndexPartSelectBind/roundtrip/dut_000.sv | 8 | 23 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/IndexPartSelectBind/dut.sv | ${SURELOG_DIR}/build/regression/IndexPartSelectBind/roundtrip/dut_000.sv | 8 | 23 | \ No newline at end of file diff --git a/tests/IndexedSelectHex/IndexedSelectHex.log b/tests/IndexedSelectHex/IndexedSelectHex.log index ccd31f0b2d..73fcdb5841 100644 --- a/tests/IndexedSelectHex/IndexedSelectHex.log +++ b/tests/IndexedSelectHex/IndexedSelectHex.log @@ -4712,6 +4712,5 @@ design: (work@top) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IndexedSelectHex/dut.sv | ${SURELOG_DIR}/build/regression/IndexedSelectHex/roundtrip/dut_000.sv | 22 | 53 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IndexedSelectHex/dut.sv | ${SURELOG_DIR}/build/regression/IndexedSelectHex/roundtrip/dut_000.sv | 22 | 53 | \ No newline at end of file diff --git a/tests/InsideOp/InsideOp.log b/tests/InsideOp/InsideOp.log index dbb924826c..839bd90adc 100644 --- a/tests/InsideOp/InsideOp.log +++ b/tests/InsideOp/InsideOp.log @@ -1074,6 +1074,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InsideOp/dut.sv | ${SURELOG_DIR}/build/regression/InsideOp/roundtrip/dut_000.sv | 12 | 38 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InsideOp/dut.sv | ${SURELOG_DIR}/build/regression/InsideOp/roundtrip/dut_000.sv | 12 | 38 | \ No newline at end of file diff --git a/tests/InstArray/InstArray.log b/tests/InstArray/InstArray.log index cd9b9082f5..3244a7c8ed 100644 --- a/tests/InstArray/InstArray.log +++ b/tests/InstArray/InstArray.log @@ -531,6 +531,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InstArray/dut.sv | ${SURELOG_DIR}/build/regression/InstArray/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InstArray/dut.sv | ${SURELOG_DIR}/build/regression/InstArray/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/IntegerConcat/IntegerConcat.log b/tests/IntegerConcat/IntegerConcat.log index ce2079fc38..d4bbdf096a 100644 --- a/tests/IntegerConcat/IntegerConcat.log +++ b/tests/IntegerConcat/IntegerConcat.log @@ -2114,6 +2114,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/IntegerConcat/dut.sv | ${SURELOG_DIR}/build/regression/IntegerConcat/roundtrip/dut_000.sv | 7 | 26 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/IntegerConcat/dut.sv | ${SURELOG_DIR}/build/regression/IntegerConcat/roundtrip/dut_000.sv | 7 | 26 | \ No newline at end of file diff --git a/tests/Interconnect/Interconnect.log b/tests/Interconnect/Interconnect.log index 1506f9ca8b..be01a5de08 100644 --- a/tests/Interconnect/Interconnect.log +++ b/tests/Interconnect/Interconnect.log @@ -4439,6 +4439,5 @@ design: (work@a) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Interconnect/dut.sv | ${SURELOG_DIR}/build/regression/Interconnect/roundtrip/dut_000.sv | 36 | 43 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Interconnect/dut.sv | ${SURELOG_DIR}/build/regression/Interconnect/roundtrip/dut_000.sv | 36 | 43 | \ No newline at end of file diff --git a/tests/InterfAlways/InterfAlways.log b/tests/InterfAlways/InterfAlways.log index f0bb1d42ac..9f9e14a57a 100644 --- a/tests/InterfAlways/InterfAlways.log +++ b/tests/InterfAlways/InterfAlways.log @@ -382,6 +382,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfAlways/dut.sv | ${SURELOG_DIR}/build/regression/InterfAlways/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfAlways/dut.sv | ${SURELOG_DIR}/build/regression/InterfAlways/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/InterfBinding/InterfBinding.log b/tests/InterfBinding/InterfBinding.log index f752109dd1..5226fda6c1 100644 --- a/tests/InterfBinding/InterfBinding.log +++ b/tests/InterfBinding/InterfBinding.log @@ -648,6 +648,5 @@ design: (work@BypassNetwork) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfBinding/dut.sv | ${SURELOG_DIR}/build/regression/InterfBinding/roundtrip/dut_000.sv | 14 | 36 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfBinding/dut.sv | ${SURELOG_DIR}/build/regression/InterfBinding/roundtrip/dut_000.sv | 14 | 36 | \ No newline at end of file diff --git a/tests/InterfHierPath/InterfHierPath.log b/tests/InterfHierPath/InterfHierPath.log index 2787d8fea0..42aca50f8a 100644 --- a/tests/InterfHierPath/InterfHierPath.log +++ b/tests/InterfHierPath/InterfHierPath.log @@ -462,6 +462,5 @@ design: (work@or_ex) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfHierPath/dut.sv | ${SURELOG_DIR}/build/regression/InterfHierPath/roundtrip/dut_000.sv | 4 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfHierPath/dut.sv | ${SURELOG_DIR}/build/regression/InterfHierPath/roundtrip/dut_000.sv | 4 | 16 | \ No newline at end of file diff --git a/tests/InterfInst/InterfInst.log b/tests/InterfInst/InterfInst.log index 8f0e10eb24..170f7b478a 100644 --- a/tests/InterfInst/InterfInst.log +++ b/tests/InterfInst/InterfInst.log @@ -713,6 +713,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfInst/dut.sv | ${SURELOG_DIR}/build/regression/InterfInst/roundtrip/dut_000.sv | 5 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfInst/dut.sv | ${SURELOG_DIR}/build/regression/InterfInst/roundtrip/dut_000.sv | 5 | 14 | \ No newline at end of file diff --git a/tests/InterfType/InterfType.log b/tests/InterfType/InterfType.log index ab49b40afe..acc9ea2505 100644 --- a/tests/InterfType/InterfType.log +++ b/tests/InterfType/InterfType.log @@ -383,6 +383,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfType/dut.sv | ${SURELOG_DIR}/build/regression/InterfType/roundtrip/dut_000.sv | 7 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfType/dut.sv | ${SURELOG_DIR}/build/regression/InterfType/roundtrip/dut_000.sv | 7 | 18 | \ No newline at end of file diff --git a/tests/InterfTypeBad/InterfTypeBad.log b/tests/InterfTypeBad/InterfTypeBad.log index 87e9a6256c..b908c6a06c 100644 --- a/tests/InterfTypeBad/InterfTypeBad.log +++ b/tests/InterfTypeBad/InterfTypeBad.log @@ -338,6 +338,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfTypeBad/dut.sv | ${SURELOG_DIR}/build/regression/InterfTypeBad/roundtrip/dut_000.sv | 7 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfTypeBad/dut.sv | ${SURELOG_DIR}/build/regression/InterfTypeBad/roundtrip/dut_000.sv | 7 | 18 | \ No newline at end of file diff --git a/tests/InterfaceElab/InterfaceElab.log b/tests/InterfaceElab/InterfaceElab.log index f6f3f9a4ed..740e0b98e3 100644 --- a/tests/InterfaceElab/InterfaceElab.log +++ b/tests/InterfaceElab/InterfaceElab.log @@ -787,6 +787,5 @@ design: (work@testharness) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfaceElab/dut.sv | ${SURELOG_DIR}/build/regression/InterfaceElab/roundtrip/dut_000.sv | 11 | 36 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfaceElab/dut.sv | ${SURELOG_DIR}/build/regression/InterfaceElab/roundtrip/dut_000.sv | 11 | 36 | \ No newline at end of file diff --git a/tests/InterfaceFuncCall/InterfaceFuncCall.log b/tests/InterfaceFuncCall/InterfaceFuncCall.log index 94bec9f672..4ef86827fa 100644 --- a/tests/InterfaceFuncCall/InterfaceFuncCall.log +++ b/tests/InterfaceFuncCall/InterfaceFuncCall.log @@ -619,10 +619,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/InterfaceFuncCall/dut.sv:13:24: Non synthesizable construct, bar -[LINT]: ${SURELOG_DIR}/tests/InterfaceFuncCall/dut.sv:14:24: Non synthesizable construct, bar -[LINT]: ${SURELOG_DIR}/tests/InterfaceFuncCall/dut.sv:13:24: Non synthesizable construct, bar -[LINT]: ${SURELOG_DIR}/tests/InterfaceFuncCall/dut.sv:14:24: Non synthesizable construct, bar -============================== End Linting Results ============================== diff --git a/tests/InterfaceModExp/InterfaceModExp.log b/tests/InterfaceModExp/InterfaceModExp.log index 18bf9dec35..eab87c79f5 100644 --- a/tests/InterfaceModExp/InterfaceModExp.log +++ b/tests/InterfaceModExp/InterfaceModExp.log @@ -1123,11 +1123,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/InterfaceModExp/dut.sv:18:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/InterfaceModExp/dut.sv:18:11: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfaceModExp/dut.sv | ${SURELOG_DIR}/build/regression/InterfaceModExp/roundtrip/dut_000.sv | 7 | 20 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/InterfaceModExp/dut.sv | ${SURELOG_DIR}/build/regression/InterfaceModExp/roundtrip/dut_000.sv | 7 | 20 | \ No newline at end of file diff --git a/tests/InterfaceModPort/InterfaceModPort.log b/tests/InterfaceModPort/InterfaceModPort.log index b7e37f0190..ea505caedc 100644 --- a/tests/InterfaceModPort/InterfaceModPort.log +++ b/tests/InterfaceModPort/InterfaceModPort.log @@ -4963,17 +4963,3 @@ design: (work@interface_modports) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 18 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:12:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:12:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:13:18: Non synthesizable construct, mem_data -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:14:19: Non synthesizable construct, mem_add -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:15:14: Non synthesizable construct, mem_en -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:16:14: Non synthesizable construct, mem_rd_wr -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:11:4: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:104:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:99:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:115:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/InterfaceModPort/top.v:122:1: Non synthesizable construct, U_test -============================== End Linting Results ============================== diff --git a/tests/InterfaceProcess/InterfaceProcess.log b/tests/InterfaceProcess/InterfaceProcess.log index 047e6a86db..bc86d6ad8d 100644 --- a/tests/InterfaceProcess/InterfaceProcess.log +++ b/tests/InterfaceProcess/InterfaceProcess.log @@ -340,6 +340,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InterfaceProcess/dut.sv | ${SURELOG_DIR}/build/regression/InterfaceProcess/roundtrip/dut_000.sv | 1 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InterfaceProcess/dut.sv | ${SURELOG_DIR}/build/regression/InterfaceProcess/roundtrip/dut_000.sv | 1 | 15 | \ No newline at end of file diff --git a/tests/InterpElab1/InterpElab1.log b/tests/InterpElab1/InterpElab1.log index 555bc39cb5..8f5cbf4aa8 100644 --- a/tests/InterpElab1/InterpElab1.log +++ b/tests/InterpElab1/InterpElab1.log @@ -1301,7 +1301,6 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/InterpElab1/builtin.sv | ${SURELOG_DIR}/build/regression/InterpElab1/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/InterpElab1/dut.sv | ${SURELOG_DIR}/build/regression/InterpElab1/roundtrip/dut_000.sv | 0 | 21 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/InterpElab1/dut.sv | ${SURELOG_DIR}/build/regression/InterpElab1/roundtrip/dut_000.sv | 0 | 21 | \ No newline at end of file diff --git a/tests/InvalidTypeParam/InvalidTypeParam.log b/tests/InvalidTypeParam/InvalidTypeParam.log index b5243fe826..b56adea937 100644 --- a/tests/InvalidTypeParam/InvalidTypeParam.log +++ b/tests/InvalidTypeParam/InvalidTypeParam.log @@ -198,6 +198,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/InvalidTypeParam/dut.sv | ${SURELOG_DIR}/build/regression/InvalidTypeParam/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/InvalidTypeParam/dut.sv | ${SURELOG_DIR}/build/regression/InvalidTypeParam/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/Inverter/Inverter.log b/tests/Inverter/Inverter.log index 923403c05a..d8de3d3f8e 100644 --- a/tests/Inverter/Inverter.log +++ b/tests/Inverter/Inverter.log @@ -679,6 +679,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Inverter/dut.sv | ${SURELOG_DIR}/build/regression/Inverter/roundtrip/dut_000.sv | 7 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Inverter/dut.sv | ${SURELOG_DIR}/build/regression/Inverter/roundtrip/dut_000.sv | 7 | 12 | \ No newline at end of file diff --git a/tests/JKFlipflop/JKFlipflop.log b/tests/JKFlipflop/JKFlipflop.log index 2cf303fe71..33b91b4cbb 100644 --- a/tests/JKFlipflop/JKFlipflop.log +++ b/tests/JKFlipflop/JKFlipflop.log @@ -1793,7 +1793,6 @@ design: (work@JKFlipflop) [WARNING] : 3 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/JKFlipflop/builtin.sv | ${SURELOG_DIR}/build/regression/JKFlipflop/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/JKFlipflop/dut.sv | ${SURELOG_DIR}/build/regression/JKFlipflop/roundtrip/dut_000.sv | 7 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/JKFlipflop/dut.sv | ${SURELOG_DIR}/build/regression/JKFlipflop/roundtrip/dut_000.sv | 7 | 19 | \ No newline at end of file diff --git a/tests/LargeConst/LargeConst.log b/tests/LargeConst/LargeConst.log index a9188e12e7..e6393162c3 100644 --- a/tests/LargeConst/LargeConst.log +++ b/tests/LargeConst/LargeConst.log @@ -479,6 +479,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LargeConst/dut.sv | ${SURELOG_DIR}/build/regression/LargeConst/roundtrip/dut_000.sv | 0 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LargeConst/dut.sv | ${SURELOG_DIR}/build/regression/LargeConst/roundtrip/dut_000.sv | 0 | 8 | \ No newline at end of file diff --git a/tests/LargeHex/LargeHex.log b/tests/LargeHex/LargeHex.log index a75eeeda75..68638905e2 100644 --- a/tests/LargeHex/LargeHex.log +++ b/tests/LargeHex/LargeHex.log @@ -1981,7 +1981,6 @@ design: (work@tlul_socket_1n) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/LargeHex/builtin.sv | ${SURELOG_DIR}/build/regression/LargeHex/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/LargeHex/dut.sv | ${SURELOG_DIR}/build/regression/LargeHex/roundtrip/dut_000.sv | 8 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/LargeHex/dut.sv | ${SURELOG_DIR}/build/regression/LargeHex/roundtrip/dut_000.sv | 8 | 18 | \ No newline at end of file diff --git a/tests/LateBindingFuncArg/LateBindingFuncArg.log b/tests/LateBindingFuncArg/LateBindingFuncArg.log index 28b4ad16ea..d25f3d63ca 100644 --- a/tests/LateBindingFuncArg/LateBindingFuncArg.log +++ b/tests/LateBindingFuncArg/LateBindingFuncArg.log @@ -270,6 +270,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LateBindingFuncArg/dut.sv | ${SURELOG_DIR}/build/regression/LateBindingFuncArg/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LateBindingFuncArg/dut.sv | ${SURELOG_DIR}/build/regression/LateBindingFuncArg/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/LeftPadding/LeftPadding.log b/tests/LeftPadding/LeftPadding.log index cc8752abc3..5430f78b62 100644 --- a/tests/LeftPadding/LeftPadding.log +++ b/tests/LeftPadding/LeftPadding.log @@ -957,6 +957,5 @@ design: (work@socket_1n) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LeftPadding/dut.sv | ${SURELOG_DIR}/build/regression/LeftPadding/roundtrip/dut_000.sv | 3 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LeftPadding/dut.sv | ${SURELOG_DIR}/build/regression/LeftPadding/roundtrip/dut_000.sv | 3 | 11 | \ No newline at end of file diff --git a/tests/LetExpr/LetExpr.log b/tests/LetExpr/LetExpr.log index 90e9cb369e..00c1d6d2d6 100644 --- a/tests/LetExpr/LetExpr.log +++ b/tests/LetExpr/LetExpr.log @@ -628,18 +628,5 @@ design: (work@m) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:4:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:6:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:7:12: Non synthesizable construct, $sampled -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:4:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:6:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:7:12: Non synthesizable construct, $sampled -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:4:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:6:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetExpr/dut.sv:7:12: Non synthesizable construct, $sampled -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LetExpr/dut.sv | ${SURELOG_DIR}/build/regression/LetExpr/roundtrip/dut_000.sv | 7 | 16 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/LetExpr/dut.sv | ${SURELOG_DIR}/build/regression/LetExpr/roundtrip/dut_000.sv | 7 | 16 | \ No newline at end of file diff --git a/tests/LetInlined/LetInlined.log b/tests/LetInlined/LetInlined.log index a1511f297b..b1c2108f9c 100644 --- a/tests/LetInlined/LetInlined.log +++ b/tests/LetInlined/LetInlined.log @@ -545,18 +545,5 @@ design: (work@m) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:4:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:6:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:7:12: Non synthesizable construct, $sampled -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:4:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:6:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:7:12: Non synthesizable construct, $sampled -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:4:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:6:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/LetInlined/dut.sv:7:12: Non synthesizable construct, $sampled -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LetInlined/dut.sv | ${SURELOG_DIR}/build/regression/LetInlined/roundtrip/dut_000.sv | 7 | 16 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/LetInlined/dut.sv | ${SURELOG_DIR}/build/regression/LetInlined/roundtrip/dut_000.sv | 7 | 16 | \ No newline at end of file diff --git a/tests/LhsHierPath/LhsHierPath.log b/tests/LhsHierPath/LhsHierPath.log index 9a4a55f37c..4564487664 100644 --- a/tests/LhsHierPath/LhsHierPath.log +++ b/tests/LhsHierPath/LhsHierPath.log @@ -703,6 +703,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LhsHierPath/dut.sv | ${SURELOG_DIR}/build/regression/LhsHierPath/roundtrip/dut_000.sv | 5 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LhsHierPath/dut.sv | ${SURELOG_DIR}/build/regression/LhsHierPath/roundtrip/dut_000.sv | 5 | 17 | \ No newline at end of file diff --git a/tests/LhsOp/LhsOp.log b/tests/LhsOp/LhsOp.log index ca689fbfdc..7339319302 100644 --- a/tests/LhsOp/LhsOp.log +++ b/tests/LhsOp/LhsOp.log @@ -586,6 +586,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LhsOp/dut.sv | ${SURELOG_DIR}/build/regression/LhsOp/roundtrip/dut_000.sv | 6 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LhsOp/dut.sv | ${SURELOG_DIR}/build/regression/LhsOp/roundtrip/dut_000.sv | 6 | 14 | \ No newline at end of file diff --git a/tests/LibraryIntercon/LibraryIntercon.log b/tests/LibraryIntercon/LibraryIntercon.log index 9cc2a08b5a..f4c4c2c372 100644 --- a/tests/LibraryIntercon/LibraryIntercon.log +++ b/tests/LibraryIntercon/LibraryIntercon.log @@ -209,45 +209,3 @@ task 9 [ ERROR] : 1 [WARNING] : 3 [ NOTE] : 28 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/nets.pkg:3:9: Non synthesizable construct, NetsPkg::realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/nets.pkg:3:9: Non synthesizable construct, NetsPkg::realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.sv:2:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.sv:26:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:8:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:19:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:12:2: Non synthesizable construct, NetsPkg::realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:24:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:5:16: Non synthesizable construct, NetsPkg::realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:16:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:5:16: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:5:16: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:5:16: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:7:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/driver.svr:5:16: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:19:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:20:2: Non synthesizable construct, updatePeriod -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:8:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:12:2: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:19:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:20:2: Non synthesizable construct, updatePeriod -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:8:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:12:2: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:19:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:20:2: Non synthesizable construct, updatePeriod -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:8:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:12:2: Non synthesizable construct, realNet -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:19:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:20:2: Non synthesizable construct, updatePeriod -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:8:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/LibraryIntercon/cmp.svr:12:2: Non synthesizable construct, realNet -============================== End Linting Results ============================== diff --git a/tests/LocalParam/LocalParam.log b/tests/LocalParam/LocalParam.log index 93e33031e4..9d6a5d1628 100644 --- a/tests/LocalParam/LocalParam.log +++ b/tests/LocalParam/LocalParam.log @@ -2226,7 +2226,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/LocalParam/builtin.sv | ${SURELOG_DIR}/build/regression/LocalParam/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/LocalParam/dut.sv | ${SURELOG_DIR}/build/regression/LocalParam/roundtrip/dut_000.sv | 6 | 12 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/LocalParam/dut.sv | ${SURELOG_DIR}/build/regression/LocalParam/roundtrip/dut_000.sv | 6 | 12 | \ No newline at end of file diff --git a/tests/LocalScopeAssign/LocalScopeAssign.log b/tests/LocalScopeAssign/LocalScopeAssign.log index f9952506a8..01e68cc174 100644 --- a/tests/LocalScopeAssign/LocalScopeAssign.log +++ b/tests/LocalScopeAssign/LocalScopeAssign.log @@ -419,6 +419,5 @@ design: (work@module_scope_Example) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LocalScopeAssign/dut.sv | ${SURELOG_DIR}/build/regression/LocalScopeAssign/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LocalScopeAssign/dut.sv | ${SURELOG_DIR}/build/regression/LocalScopeAssign/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/LocalScopeHierPath/LocalScopeHierPath.log b/tests/LocalScopeHierPath/LocalScopeHierPath.log index 723fba60ec..ce8dd7b2e3 100644 --- a/tests/LocalScopeHierPath/LocalScopeHierPath.log +++ b/tests/LocalScopeHierPath/LocalScopeHierPath.log @@ -870,20 +870,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:7:1: Non synthesizable construct, uvm_hdl_path_concat -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:11:1: Non synthesizable construct, uvm_mem_mam -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:16:11: Unsupported typespec, paths -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:16:17: Unsupported typespec, p -[LINT]: \_ ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:16:17: -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:16:17: Unsupported typespec, p -[LINT]: \_ ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:16:17: -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:18:26: Unsupported typespec, j -[LINT]: \_ ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:18:26: -[LINT]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:18:26: Unsupported typespec, j -[LINT]: \_ ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv:18:26: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv | ${SURELOG_DIR}/build/regression/LocalScopeHierPath/roundtrip/dut_000.sv | 14 | 27 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/LocalScopeHierPath/dut.sv | ${SURELOG_DIR}/build/regression/LocalScopeHierPath/roundtrip/dut_000.sv | 14 | 27 | \ No newline at end of file diff --git a/tests/LocalVarTypespec/LocalVarTypespec.log b/tests/LocalVarTypespec/LocalVarTypespec.log index c5cfdc3fd5..ffab8e0ca0 100644 --- a/tests/LocalVarTypespec/LocalVarTypespec.log +++ b/tests/LocalVarTypespec/LocalVarTypespec.log @@ -452,6 +452,5 @@ design: (work@shift) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LocalVarTypespec/dut.sv | ${SURELOG_DIR}/build/regression/LocalVarTypespec/roundtrip/dut_000.sv | 4 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LocalVarTypespec/dut.sv | ${SURELOG_DIR}/build/regression/LocalVarTypespec/roundtrip/dut_000.sv | 4 | 7 | \ No newline at end of file diff --git a/tests/LogicArrayParam/LogicArrayParam.log b/tests/LogicArrayParam/LogicArrayParam.log index b93b0423fa..b05d323ca3 100644 --- a/tests/LogicArrayParam/LogicArrayParam.log +++ b/tests/LogicArrayParam/LogicArrayParam.log @@ -4013,6 +4013,5 @@ design: (work@alert_handler) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LogicArrayParam/dut.sv | ${SURELOG_DIR}/build/regression/LogicArrayParam/roundtrip/dut_000.sv | 9 | 32 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LogicArrayParam/dut.sv | ${SURELOG_DIR}/build/regression/LogicArrayParam/roundtrip/dut_000.sv | 9 | 32 | \ No newline at end of file diff --git a/tests/LogicCast/LogicCast.log b/tests/LogicCast/LogicCast.log index 520ccf3c61..8bf0e20d5e 100644 --- a/tests/LogicCast/LogicCast.log +++ b/tests/LogicCast/LogicCast.log @@ -1328,7 +1328,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/LogicCast/builtin.sv | ${SURELOG_DIR}/build/regression/LogicCast/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/LogicCast/dut.sv | ${SURELOG_DIR}/build/regression/LogicCast/roundtrip/dut_000.sv | 3 | 5 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/LogicCast/dut.sv | ${SURELOG_DIR}/build/regression/LogicCast/roundtrip/dut_000.sv | 3 | 5 | \ No newline at end of file diff --git a/tests/LogicSize/LogicSize.log b/tests/LogicSize/LogicSize.log index c82ad39f66..6388687632 100644 --- a/tests/LogicSize/LogicSize.log +++ b/tests/LogicSize/LogicSize.log @@ -603,6 +603,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LogicSize/dut.sv | ${SURELOG_DIR}/build/regression/LogicSize/roundtrip/dut_000.sv | 7 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LogicSize/dut.sv | ${SURELOG_DIR}/build/regression/LogicSize/roundtrip/dut_000.sv | 7 | 13 | \ No newline at end of file diff --git a/tests/LogicTypedef/LogicTypedef.log b/tests/LogicTypedef/LogicTypedef.log index 1cfee1c39d..0a7ed18192 100644 --- a/tests/LogicTypedef/LogicTypedef.log +++ b/tests/LogicTypedef/LogicTypedef.log @@ -389,6 +389,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LogicTypedef/dut.sv | ${SURELOG_DIR}/build/regression/LogicTypedef/roundtrip/dut_000.sv | 5 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LogicTypedef/dut.sv | ${SURELOG_DIR}/build/regression/LogicTypedef/roundtrip/dut_000.sv | 5 | 11 | \ No newline at end of file diff --git a/tests/LogicTypespec/LogicTypespec.log b/tests/LogicTypespec/LogicTypespec.log index e459eabcff..6a6d235919 100644 --- a/tests/LogicTypespec/LogicTypespec.log +++ b/tests/LogicTypespec/LogicTypespec.log @@ -281,6 +281,5 @@ design: (work@logic_typespec) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LogicTypespec/dut.sv | ${SURELOG_DIR}/build/regression/LogicTypespec/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LogicTypespec/dut.sv | ${SURELOG_DIR}/build/regression/LogicTypespec/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/LongHex/LongHex.log b/tests/LongHex/LongHex.log index f5711ce4c1..75323cf65e 100644 --- a/tests/LongHex/LongHex.log +++ b/tests/LongHex/LongHex.log @@ -968,6 +968,5 @@ design: (work@aes_core) [WARNING] : 3 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LongHex/dut.sv | ${SURELOG_DIR}/build/regression/LongHex/roundtrip/dut_000.sv | 8 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LongHex/dut.sv | ${SURELOG_DIR}/build/regression/LongHex/roundtrip/dut_000.sv | 8 | 15 | \ No newline at end of file diff --git a/tests/LoopParam/LoopParam.log b/tests/LoopParam/LoopParam.log index 560b4cafb3..3cebcf37da 100644 --- a/tests/LoopParam/LoopParam.log +++ b/tests/LoopParam/LoopParam.log @@ -191,6 +191,5 @@ design: (work@Foo) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LoopParam/dut.sv | ${SURELOG_DIR}/build/regression/LoopParam/roundtrip/dut_000.sv | 0 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LoopParam/dut.sv | ${SURELOG_DIR}/build/regression/LoopParam/roundtrip/dut_000.sv | 0 | 7 | \ No newline at end of file diff --git a/tests/LoopVar/LoopVar.log b/tests/LoopVar/LoopVar.log index 638d467c58..221123b07e 100644 --- a/tests/LoopVar/LoopVar.log +++ b/tests/LoopVar/LoopVar.log @@ -394,6 +394,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/LoopVar/dut.sv | ${SURELOG_DIR}/build/regression/LoopVar/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/LoopVar/dut.sv | ${SURELOG_DIR}/build/regression/LoopVar/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/MBAdder/MBadder.log b/tests/MBAdder/MBadder.log index 7897542621..946af46332 100644 --- a/tests/MBAdder/MBadder.log +++ b/tests/MBAdder/MBadder.log @@ -1407,7 +1407,6 @@ design: (work@MultibitAdder) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/MBAdder/builtin.sv | ${SURELOG_DIR}/build/regression/MBadder/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/MBAdder/dut.sv | ${SURELOG_DIR}/build/regression/MBadder/roundtrip/dut_000.sv | 5 | 7 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/MBAdder/dut.sv | ${SURELOG_DIR}/build/regression/MBadder/roundtrip/dut_000.sv | 5 | 7 | \ No newline at end of file diff --git a/tests/MacroArgMismatch/MacroArgMismatch.log b/tests/MacroArgMismatch/MacroArgMismatch.log index 64708bed22..e64cf8a562 100644 --- a/tests/MacroArgMismatch/MacroArgMismatch.log +++ b/tests/MacroArgMismatch/MacroArgMismatch.log @@ -356,11 +356,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/MacroArgMismatch/dut.sv:5:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/MacroArgMismatch/dut.sv:5:10: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MacroArgMismatch/dut.sv | ${SURELOG_DIR}/build/regression/MacroArgMismatch/roundtrip/dut_000.sv | 4 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/MacroArgMismatch/dut.sv | ${SURELOG_DIR}/build/regression/MacroArgMismatch/roundtrip/dut_000.sv | 4 | 6 | \ No newline at end of file diff --git a/tests/MaskNeg/MaskNeg.log b/tests/MaskNeg/MaskNeg.log index d30a040896..1d2ba1d29c 100644 --- a/tests/MaskNeg/MaskNeg.log +++ b/tests/MaskNeg/MaskNeg.log @@ -271,6 +271,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MaskNeg/dut.sv | ${SURELOG_DIR}/build/regression/MaskNeg/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/MaskNeg/dut.sv | ${SURELOG_DIR}/build/regression/MaskNeg/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/MinTypMax/MinTypMax.log b/tests/MinTypMax/MinTypMax.log index be7c3d75fc..1e05c691da 100644 --- a/tests/MinTypMax/MinTypMax.log +++ b/tests/MinTypMax/MinTypMax.log @@ -233,6 +233,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MinTypMax/dut.sv | ${SURELOG_DIR}/build/regression/MinTypMax/roundtrip/dut_000.sv | 1 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/MinTypMax/dut.sv | ${SURELOG_DIR}/build/regression/MinTypMax/roundtrip/dut_000.sv | 1 | 4 | \ No newline at end of file diff --git a/tests/ModPortParam/ModPortParam.log b/tests/ModPortParam/ModPortParam.log index 12abfba4f8..1e661ee785 100644 --- a/tests/ModPortParam/ModPortParam.log +++ b/tests/ModPortParam/ModPortParam.log @@ -352,6 +352,5 @@ design: (work@Core) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ModPortParam/dut.sv | ${SURELOG_DIR}/build/regression/ModPortParam/roundtrip/dut_000.sv | 8 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ModPortParam/dut.sv | ${SURELOG_DIR}/build/regression/ModPortParam/roundtrip/dut_000.sv | 8 | 17 | \ No newline at end of file diff --git a/tests/ModPortRange/ModPortRange.log b/tests/ModPortRange/ModPortRange.log index 8fdaa455bd..e55893fbfd 100644 --- a/tests/ModPortRange/ModPortRange.log +++ b/tests/ModPortRange/ModPortRange.log @@ -1729,6 +1729,5 @@ design: (work@range_itf_port) [WARNING] : 7 [ NOTE] : 9 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ModPortRange/dut.sv | ${SURELOG_DIR}/build/regression/ModPortRange/roundtrip/dut_000.sv | 15 | 46 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ModPortRange/dut.sv | ${SURELOG_DIR}/build/regression/ModPortRange/roundtrip/dut_000.sv | 15 | 46 | \ No newline at end of file diff --git a/tests/ModPortTest/ModPortTest.log b/tests/ModPortTest/ModPortTest.log index 2e340cd73d..91802cbadd 100644 --- a/tests/ModPortTest/ModPortTest.log +++ b/tests/ModPortTest/ModPortTest.log @@ -1045,11 +1045,5 @@ design: (work@dff0_test) [WARNING] : 5 [ NOTE] : 9 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ModPortTest/top.v:51:1: Unsupported typespec, DD -[LINT]: \_ ${SURELOG_DIR}/tests/ModPortTest/top.v:51:4: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ModPortTest/top.v | ${SURELOG_DIR}/build/regression/ModPortTest/roundtrip/top_000.v | 16 | 53 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ModPortTest/top.v | ${SURELOG_DIR}/build/regression/ModPortTest/roundtrip/top_000.v | 16 | 53 | \ No newline at end of file diff --git a/tests/MultiConcat/MultiConcat.log b/tests/MultiConcat/MultiConcat.log index 1393b8620a..96b28ead00 100644 --- a/tests/MultiConcat/MultiConcat.log +++ b/tests/MultiConcat/MultiConcat.log @@ -7782,6 +7782,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MultiConcat/dut.sv | ${SURELOG_DIR}/build/regression/MultiConcat/roundtrip/dut_000.sv | 37 | 172 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/MultiConcat/dut.sv | ${SURELOG_DIR}/build/regression/MultiConcat/roundtrip/dut_000.sv | 37 | 172 | \ No newline at end of file diff --git a/tests/MultiConcatValueSize/MultiConcatValueSize.log b/tests/MultiConcatValueSize/MultiConcatValueSize.log index 33b1d66775..2b43976a20 100644 --- a/tests/MultiConcatValueSize/MultiConcatValueSize.log +++ b/tests/MultiConcatValueSize/MultiConcatValueSize.log @@ -4849,7 +4849,6 @@ design: (work@top) [WARNING] : 6 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/MultiConcatValueSize/builtin.sv | ${SURELOG_DIR}/build/regression/MultiConcatValueSize/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/MultiConcatValueSize/dut.sv | ${SURELOG_DIR}/build/regression/MultiConcatValueSize/roundtrip/dut_000.sv | 28 | 60 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/MultiConcatValueSize/dut.sv | ${SURELOG_DIR}/build/regression/MultiConcatValueSize/roundtrip/dut_000.sv | 28 | 60 | \ No newline at end of file diff --git a/tests/MultiIndexBind/MultiIndexBind.log b/tests/MultiIndexBind/MultiIndexBind.log index fdd0afd332..58bfcc1a42 100644 --- a/tests/MultiIndexBind/MultiIndexBind.log +++ b/tests/MultiIndexBind/MultiIndexBind.log @@ -643,6 +643,5 @@ design: (work@PreDecodeStage) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MultiIndexBind/dut.sv | ${SURELOG_DIR}/build/regression/MultiIndexBind/roundtrip/dut_000.sv | 10 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/MultiIndexBind/dut.sv | ${SURELOG_DIR}/build/regression/MultiIndexBind/roundtrip/dut_000.sv | 10 | 30 | \ No newline at end of file diff --git a/tests/MultiPort/MultiPort.log b/tests/MultiPort/MultiPort.log index 3bffef3be8..7aee36fe1d 100644 --- a/tests/MultiPort/MultiPort.log +++ b/tests/MultiPort/MultiPort.log @@ -456,6 +456,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MultiPort/dut.sv | ${SURELOG_DIR}/build/regression/MultiPort/roundtrip/dut_000.sv | 4 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/MultiPort/dut.sv | ${SURELOG_DIR}/build/regression/MultiPort/roundtrip/dut_000.sv | 4 | 11 | \ No newline at end of file diff --git a/tests/MultiSelect/MultiSelect.log b/tests/MultiSelect/MultiSelect.log index c42f8885d1..a20e64f622 100644 --- a/tests/MultiSelect/MultiSelect.log +++ b/tests/MultiSelect/MultiSelect.log @@ -616,6 +616,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/MultiSelect/dut.sv | ${SURELOG_DIR}/build/regression/MultiSelect/roundtrip/dut_000.sv | 6 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/MultiSelect/dut.sv | ${SURELOG_DIR}/build/regression/MultiSelect/roundtrip/dut_000.sv | 6 | 11 | \ No newline at end of file diff --git a/tests/NameCollisionBind/NameCollisionBind.log b/tests/NameCollisionBind/NameCollisionBind.log index a294c215c8..d67025c306 100644 --- a/tests/NameCollisionBind/NameCollisionBind.log +++ b/tests/NameCollisionBind/NameCollisionBind.log @@ -432,6 +432,5 @@ design: (work@CSR_Unit) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NameCollisionBind/dut.sv | ${SURELOG_DIR}/build/regression/NameCollisionBind/roundtrip/dut_000.sv | 11 | 27 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/NameCollisionBind/dut.sv | ${SURELOG_DIR}/build/regression/NameCollisionBind/roundtrip/dut_000.sv | 11 | 27 | \ No newline at end of file diff --git a/tests/NamedEventHierPath/NamedEventHierPath.log b/tests/NamedEventHierPath/NamedEventHierPath.log index ee1f4a6a5c..214ef8fa25 100644 --- a/tests/NamedEventHierPath/NamedEventHierPath.log +++ b/tests/NamedEventHierPath/NamedEventHierPath.log @@ -275,12 +275,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/NamedEventHierPath/dut.sv:4:23: Non synthesizable construct, m_event -[LINT]: ${SURELOG_DIR}/tests/NamedEventHierPath/dut.sv:3:9: Non synthesizable construct, uvm_event_base -[LINT]: ${SURELOG_DIR}/tests/NamedEventHierPath/dut.sv:6:32: Unsupported typespec, uvm_object -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NamedEventHierPath/dut.sv | ${SURELOG_DIR}/build/regression/NamedEventHierPath/roundtrip/dut_000.sv | 7 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/NamedEventHierPath/dut.sv | ${SURELOG_DIR}/build/regression/NamedEventHierPath/roundtrip/dut_000.sv | 7 | 14 | \ No newline at end of file diff --git a/tests/NegParam/NegParam.log b/tests/NegParam/NegParam.log index b916c46177..bc6305fd6b 100644 --- a/tests/NegParam/NegParam.log +++ b/tests/NegParam/NegParam.log @@ -1510,8 +1510,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 7 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/NegParam/dut.sv:4:13: Unsupported typespec, bp_proc_param_s -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/tests/NetLValue/NetLValue.log b/tests/NetLValue/NetLValue.log index 62f62e645f..f8d1640a43 100644 --- a/tests/NetLValue/NetLValue.log +++ b/tests/NetLValue/NetLValue.log @@ -524,6 +524,5 @@ design: (work@t) [WARNING] : 1 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NetLValue/dut.sv | ${SURELOG_DIR}/build/regression/NetLValue/roundtrip/dut_000.sv | 2 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/NetLValue/dut.sv | ${SURELOG_DIR}/build/regression/NetLValue/roundtrip/dut_000.sv | 2 | 10 | \ No newline at end of file diff --git a/tests/NetType/NetType.log b/tests/NetType/NetType.log index d66c219e0d..fb18e70ac5 100644 --- a/tests/NetType/NetType.log +++ b/tests/NetType/NetType.log @@ -750,19 +750,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:9:9: Non synthesizable construct, my_real -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:41:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:41:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:41:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:13:13: Non synthesizable construct, my_real_net -[LINT]: ${SURELOG_DIR}/tests/NetType/dut.sv:18:9: Unsupported typespec, myalias -[LINT]: \_ :0:0: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NetType/dut.sv | ${SURELOG_DIR}/build/regression/NetType/roundtrip/dut_000.sv | 13 | 42 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/NetType/dut.sv | ${SURELOG_DIR}/build/regression/NetType/roundtrip/dut_000.sv | 13 | 42 | \ No newline at end of file diff --git a/tests/NoParamSubs/NoParamSubs.log b/tests/NoParamSubs/NoParamSubs.log index c15f2c6b93..a8498c52e6 100644 --- a/tests/NoParamSubs/NoParamSubs.log +++ b/tests/NoParamSubs/NoParamSubs.log @@ -866,6 +866,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NoParamSubs/dut.sv | ${SURELOG_DIR}/build/regression/NoParamSubs/roundtrip/dut_000.sv | 7 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/NoParamSubs/dut.sv | ${SURELOG_DIR}/build/regression/NoParamSubs/roundtrip/dut_000.sv | 7 | 13 | \ No newline at end of file diff --git a/tests/NoReducTypespec/NoReducTypespec.log b/tests/NoReducTypespec/NoReducTypespec.log index 0215211e74..1c2ac9d9ea 100644 --- a/tests/NoReducTypespec/NoReducTypespec.log +++ b/tests/NoReducTypespec/NoReducTypespec.log @@ -1854,6 +1854,5 @@ design: (work@cheshire_soc) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NoReducTypespec/dut.sv | ${SURELOG_DIR}/build/regression/NoReducTypespec/roundtrip/dut_000.sv | 12 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/NoReducTypespec/dut.sv | ${SURELOG_DIR}/build/regression/NoReducTypespec/roundtrip/dut_000.sv | 12 | 30 | \ No newline at end of file diff --git a/tests/NonAnsiPort/NonAnsiPort.log b/tests/NonAnsiPort/NonAnsiPort.log index 73e1556bf0..ed53f3bd05 100644 --- a/tests/NonAnsiPort/NonAnsiPort.log +++ b/tests/NonAnsiPort/NonAnsiPort.log @@ -901,6 +901,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NonAnsiPort/dut.sv | ${SURELOG_DIR}/build/regression/NonAnsiPort/roundtrip/dut_000.sv | 6 | 25 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/NonAnsiPort/dut.sv | ${SURELOG_DIR}/build/regression/NonAnsiPort/roundtrip/dut_000.sv | 6 | 25 | \ No newline at end of file diff --git a/tests/NonSynthError/NonSynthError.log b/tests/NonSynthError/NonSynthError.log index 0e48c29704..4780aeaa21 100644 --- a/tests/NonSynthError/NonSynthError.log +++ b/tests/NonSynthError/NonSynthError.log @@ -250,8 +250,3 @@ design: (work@dut) [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/NonSynthError/dut.sv:10:1: Non synthesizable construct, A -[LINT]: ${SURELOG_DIR}/tests/NonSynthError/dut.sv:10:1: Non synthesizable construct, A -============================== End Linting Results ============================== diff --git a/tests/NonSynthUnusedMod/NonSynthUnusedMod.log b/tests/NonSynthUnusedMod/NonSynthUnusedMod.log index d1ad52794c..6cfe1dfa2d 100644 --- a/tests/NonSynthUnusedMod/NonSynthUnusedMod.log +++ b/tests/NonSynthUnusedMod/NonSynthUnusedMod.log @@ -191,6 +191,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/NonSynthUnusedMod/dut.sv | ${SURELOG_DIR}/build/regression/NonSynthUnusedMod/roundtrip/dut_000.sv | 1 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/NonSynthUnusedMod/dut.sv | ${SURELOG_DIR}/build/regression/NonSynthUnusedMod/roundtrip/dut_000.sv | 1 | 6 | \ No newline at end of file diff --git a/tests/OldLibrary/OldLibrary.log b/tests/OldLibrary/OldLibrary.log index 2509fb065d..0b45247e59 100644 --- a/tests/OldLibrary/OldLibrary.log +++ b/tests/OldLibrary/OldLibrary.log @@ -96,15 +96,3 @@ udp_defn 2 [ ERROR] : 0 [WARNING] : 4 [ NOTE] : 8 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:13:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:14:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:15:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL2.v:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v:13:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v:14:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v:15:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/lib/CELL3.v:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OldLibrary/top.v:5:4: Non synthesizable construct, cell2 -============================== End Linting Results ============================== diff --git a/tests/OneAnd/OneAnd.log b/tests/OneAnd/OneAnd.log index e01695a23a..45b93ac078 100644 --- a/tests/OneAnd/OneAnd.log +++ b/tests/OneAnd/OneAnd.log @@ -2106,28 +2106,6 @@ design: (work@and_tb) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:5:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:6:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:13:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:14:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:17:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:18:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:21:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:22:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:25:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:5:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:6:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:13:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:14:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:17:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:18:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:21:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:22:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneAnd/tb.v:25:6: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneAnd/dut.v | ${SURELOG_DIR}/build/regression/OneAnd/roundtrip/dut_000.v | 1 | 5 | -[roundtrip]: ${SURELOG_DIR}/tests/OneAnd/tb.v | ${SURELOG_DIR}/build/regression/OneAnd/roundtrip/tb_000.v | 8 | 30 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneAnd/tb.v | ${SURELOG_DIR}/build/regression/OneAnd/roundtrip/tb_000.v | 8 | 30 | \ No newline at end of file diff --git a/tests/OneClock/OneClock.log b/tests/OneClock/OneClock.log index 7132a6f389..67b288d0c2 100644 --- a/tests/OneClock/OneClock.log +++ b/tests/OneClock/OneClock.log @@ -218,12 +218,5 @@ design: (work@tb) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneClock/tb.v:6:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneClock/tb.v:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneClock/tb.v:16:5: Non synthesizable construct, -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/OneClock/tb.v | ${SURELOG_DIR}/build/regression/OneClock/roundtrip/tb_000.v | 2 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/OneClock/tb.v | ${SURELOG_DIR}/build/regression/OneClock/roundtrip/tb_000.v | 2 | 19 | \ No newline at end of file diff --git a/tests/OneDivider/OneDivider.log b/tests/OneDivider/OneDivider.log index 2d21a8d68e..29626268fe 100644 --- a/tests/OneDivider/OneDivider.log +++ b/tests/OneDivider/OneDivider.log @@ -750,15 +750,6 @@ design: (work@tb) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneDivider/tb.v:28:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneDivider/tb.v:8:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneDivider/tb.v:9:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneDivider/tb.v:16:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneDivider/tb.v:20:5: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneDivider/dut.v | ${SURELOG_DIR}/build/regression/OneDivider/roundtrip/dut_000.v | 3 | 10 | -[roundtrip]: ${SURELOG_DIR}/tests/OneDivider/tb.v | ${SURELOG_DIR}/build/regression/OneDivider/roundtrip/tb_000.v | 7 | 30 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneDivider/tb.v | ${SURELOG_DIR}/build/regression/OneDivider/roundtrip/tb_000.v | 7 | 30 | \ No newline at end of file diff --git a/tests/OneFF/OneFF.log b/tests/OneFF/OneFF.log index e1769e203e..33718e730a 100644 --- a/tests/OneFF/OneFF.log +++ b/tests/OneFF/OneFF.log @@ -1070,18 +1070,6 @@ design: (work@tb) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:11:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:12:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:20:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:22:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:23:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:24:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:25:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneFF/tb.v:29:5: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneFF/dut.v | ${SURELOG_DIR}/build/regression/OneFF/roundtrip/dut_000.v | 4 | 14 | -[roundtrip]: ${SURELOG_DIR}/tests/OneFF/tb.v | ${SURELOG_DIR}/build/regression/OneFF/roundtrip/tb_000.v | 7 | 33 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneFF/tb.v | ${SURELOG_DIR}/build/regression/OneFF/roundtrip/tb_000.v | 7 | 33 | \ No newline at end of file diff --git a/tests/OneImport/OneImport.log b/tests/OneImport/OneImport.log index 97c1bfa888..6b8f134f7e 100644 --- a/tests/OneImport/OneImport.log +++ b/tests/OneImport/OneImport.log @@ -1117,6 +1117,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/OneImport/dut.sv | ${SURELOG_DIR}/build/regression/OneImport/roundtrip/dut_000.sv | 7 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/OneImport/dut.sv | ${SURELOG_DIR}/build/regression/OneImport/roundtrip/dut_000.sv | 7 | 20 | \ No newline at end of file diff --git a/tests/OneNet/OneNet.log b/tests/OneNet/OneNet.log index f2106505db..78313cac74 100644 --- a/tests/OneNet/OneNet.log +++ b/tests/OneNet/OneNet.log @@ -219,6 +219,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/OneNet/dut.v | ${SURELOG_DIR}/build/regression/OneNet/roundtrip/dut_000.v | 1 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/OneNet/dut.v | ${SURELOG_DIR}/build/regression/OneNet/roundtrip/dut_000.v | 1 | 3 | \ No newline at end of file diff --git a/tests/OneNetInterf/OneNetInterf.log b/tests/OneNetInterf/OneNetInterf.log index bb82bf9f05..01cbd73f20 100644 --- a/tests/OneNetInterf/OneNetInterf.log +++ b/tests/OneNetInterf/OneNetInterf.log @@ -1927,17 +1927,6 @@ design: (work@dut) [WARNING] : 7 [ NOTE] : 7 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:5:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:8:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:9:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:10:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v:18:3: Non synthesizable construct, tb -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneNetInterf/dut.v | ${SURELOG_DIR}/build/regression/OneNetInterf/roundtrip/dut_000.v | 6 | 16 | -[roundtrip]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v | ${SURELOG_DIR}/build/regression/OneNetInterf/roundtrip/tb_000.v | 8 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneNetInterf/tb.v | ${SURELOG_DIR}/build/regression/OneNetInterf/roundtrip/tb_000.v | 8 | 19 | \ No newline at end of file diff --git a/tests/OneNetModPort/OneNetModPort.log b/tests/OneNetModPort/OneNetModPort.log index a311e8dc21..5045f9e24c 100644 --- a/tests/OneNetModPort/OneNetModPort.log +++ b/tests/OneNetModPort/OneNetModPort.log @@ -1545,17 +1545,6 @@ design: (work@TOP) [WARNING] : 7 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:5:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:8:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:9:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:10:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v:18:3: Non synthesizable construct, tb -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneNetModPort/dut.v | ${SURELOG_DIR}/build/regression/OneNetModPort/roundtrip/dut_000.v | 12 | 28 | -[roundtrip]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v | ${SURELOG_DIR}/build/regression/OneNetModPort/roundtrip/tb_000.v | 9 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneNetModPort/tb.v | ${SURELOG_DIR}/build/regression/OneNetModPort/roundtrip/tb_000.v | 9 | 19 | \ No newline at end of file diff --git a/tests/OneNetModPortGeneric/OneNetModPortGeneric.log b/tests/OneNetModPortGeneric/OneNetModPortGeneric.log index 06b899293e..1fb4aa4333 100644 --- a/tests/OneNetModPortGeneric/OneNetModPortGeneric.log +++ b/tests/OneNetModPortGeneric/OneNetModPortGeneric.log @@ -1866,30 +1866,6 @@ design: (work@TOP) [WARNING] : 10 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:5:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:8:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:9:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:10:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:18:3: Non synthesizable construct, tb -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:1:31: Unsupported typespec, intf -[LINT]: \_ ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:1:31: -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v:1:31: Unsupported typespec, intf -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: Unsupported typespec, intf -[LINT]: \_ ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: Unsupported typespec, intf -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: Unsupported typespec, intf -[LINT]: \_ ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: Unsupported typespec, intf -[LINT]: \_ ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:22:26: -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:30:27: Unsupported typespec, intf -[LINT]: \_ ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:30:27: -[LINT]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v:30:27: Unsupported typespec, intf -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/dut.v | ${SURELOG_DIR}/build/regression/OneNetModPortGeneric/roundtrip/dut_000.v | 13 | 32 | -[roundtrip]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v | ${SURELOG_DIR}/build/regression/OneNetModPortGeneric/roundtrip/tb_000.v | 10 | 20 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneNetModPortGeneric/tb.v | ${SURELOG_DIR}/build/regression/OneNetModPortGeneric/roundtrip/tb_000.v | 10 | 20 | \ No newline at end of file diff --git a/tests/OneNetNonAnsi/OneNetNonAnsi.log b/tests/OneNetNonAnsi/OneNetNonAnsi.log index 54af5e9bd5..ec54094b95 100644 --- a/tests/OneNetNonAnsi/OneNetNonAnsi.log +++ b/tests/OneNetNonAnsi/OneNetNonAnsi.log @@ -560,15 +560,6 @@ design: (work@tb) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v:7:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v:8:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v:14:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v:15:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v:16:5: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/OneNetNonAnsi/dut.v | ${SURELOG_DIR}/build/regression/OneNetNonAnsi/roundtrip/dut_000.v | 4 | 7 | -[roundtrip]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v | ${SURELOG_DIR}/build/regression/OneNetNonAnsi/roundtrip/tb_000.v | 5 | 21 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/OneNetNonAnsi/tb.v | ${SURELOG_DIR}/build/regression/OneNetNonAnsi/roundtrip/tb_000.v | 5 | 21 | \ No newline at end of file diff --git a/tests/OneNetRange/OneNetRange.log b/tests/OneNetRange/OneNetRange.log index f518d82385..9cc933d616 100644 --- a/tests/OneNetRange/OneNetRange.log +++ b/tests/OneNetRange/OneNetRange.log @@ -2866,13 +2866,3 @@ design: (work@TOP) [ ERROR] : 0 [WARNING] : 7 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:5:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:7:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:8:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:9:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:10:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/OneNetRange/tb.v:22:3: Non synthesizable construct, tb -============================== End Linting Results ============================== diff --git a/tests/OpTypespec/OpTypespec.log b/tests/OpTypespec/OpTypespec.log index a4fc382696..1aade16fbc 100644 --- a/tests/OpTypespec/OpTypespec.log +++ b/tests/OpTypespec/OpTypespec.log @@ -972,6 +972,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/OpTypespec/dut.sv | ${SURELOG_DIR}/build/regression/OpTypespec/roundtrip/dut_000.sv | 8 | 21 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/OpTypespec/dut.sv | ${SURELOG_DIR}/build/regression/OpTypespec/roundtrip/dut_000.sv | 8 | 21 | \ No newline at end of file diff --git a/tests/PPComment/PPComment.log b/tests/PPComment/PPComment.log index 4caebeeb87..591df9b606 100644 --- a/tests/PPComment/PPComment.log +++ b/tests/PPComment/PPComment.log @@ -177,6 +177,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PPComment/dut.sv | ${SURELOG_DIR}/build/regression/PPComment/roundtrip/dut_000.sv | 7 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PPComment/dut.sv | ${SURELOG_DIR}/build/regression/PPComment/roundtrip/dut_000.sv | 7 | 12 | \ No newline at end of file diff --git a/tests/PPMacro/PPMacro.log b/tests/PPMacro/PPMacro.log index b335894088..513a437d5c 100644 --- a/tests/PPMacro/PPMacro.log +++ b/tests/PPMacro/PPMacro.log @@ -308,6 +308,5 @@ design: (work@top1) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PPMacro/dut.sv | ${SURELOG_DIR}/build/regression/PPMacro/roundtrip/dut_000.sv | 4 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PPMacro/dut.sv | ${SURELOG_DIR}/build/regression/PPMacro/roundtrip/dut_000.sv | 4 | 9 | \ No newline at end of file diff --git a/tests/PackDataType/PackDataType.log b/tests/PackDataType/PackDataType.log index da77cffdf6..630870ff38 100644 --- a/tests/PackDataType/PackDataType.log +++ b/tests/PackDataType/PackDataType.log @@ -1917,7 +1917,6 @@ design: (work@kmac_keymgr) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/PackDataType/builtin.sv | ${SURELOG_DIR}/build/regression/PackDataType/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/PackDataType/dut.sv | ${SURELOG_DIR}/build/regression/PackDataType/roundtrip/dut_000.sv | 7 | 24 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PackDataType/dut.sv | ${SURELOG_DIR}/build/regression/PackDataType/roundtrip/dut_000.sv | 7 | 24 | \ No newline at end of file diff --git a/tests/PackEnumVal/PackEnumVal.log b/tests/PackEnumVal/PackEnumVal.log index a463a929a6..e2f3872b93 100644 --- a/tests/PackEnumVal/PackEnumVal.log +++ b/tests/PackEnumVal/PackEnumVal.log @@ -719,6 +719,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackEnumVal/dut.sv | ${SURELOG_DIR}/build/regression/PackEnumVal/roundtrip/dut_000.sv | 6 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackEnumVal/dut.sv | ${SURELOG_DIR}/build/regression/PackEnumVal/roundtrip/dut_000.sv | 6 | 18 | \ No newline at end of file diff --git a/tests/PackFuncParent/PackFuncParent.log b/tests/PackFuncParent/PackFuncParent.log index 36f5247de1..6bf5c7eea3 100644 --- a/tests/PackFuncParent/PackFuncParent.log +++ b/tests/PackFuncParent/PackFuncParent.log @@ -1389,6 +1389,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackFuncParent/dut.sv | ${SURELOG_DIR}/build/regression/PackFuncParent/roundtrip/dut_000.sv | 5 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackFuncParent/dut.sv | ${SURELOG_DIR}/build/regression/PackFuncParent/roundtrip/dut_000.sv | 5 | 15 | \ No newline at end of file diff --git a/tests/PackImport/PackImport.log b/tests/PackImport/PackImport.log index 4f5fb67a6d..dd81e62050 100644 --- a/tests/PackImport/PackImport.log +++ b/tests/PackImport/PackImport.log @@ -570,6 +570,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackImport/dut.sv | ${SURELOG_DIR}/build/regression/PackImport/roundtrip/dut_000.sv | 1 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackImport/dut.sv | ${SURELOG_DIR}/build/regression/PackImport/roundtrip/dut_000.sv | 1 | 20 | \ No newline at end of file diff --git a/tests/PackStructField/PackStructField.log b/tests/PackStructField/PackStructField.log index ca5eb25f82..391924bb3c 100644 --- a/tests/PackStructField/PackStructField.log +++ b/tests/PackStructField/PackStructField.log @@ -801,6 +801,5 @@ design: (work@my_module) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackStructField/dut.sv | ${SURELOG_DIR}/build/regression/PackStructField/roundtrip/dut_000.sv | 8 | 24 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackStructField/dut.sv | ${SURELOG_DIR}/build/regression/PackStructField/roundtrip/dut_000.sv | 8 | 24 | \ No newline at end of file diff --git a/tests/PackStructVar/PackStructVar.log b/tests/PackStructVar/PackStructVar.log index 6dc12a39f0..0b2e03c2bd 100644 --- a/tests/PackStructVar/PackStructVar.log +++ b/tests/PackStructVar/PackStructVar.log @@ -2034,6 +2034,5 @@ design: (work@flash_ctrl) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackStructVar/dut.sv | ${SURELOG_DIR}/build/regression/PackStructVar/roundtrip/dut_000.sv | 7 | 47 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackStructVar/dut.sv | ${SURELOG_DIR}/build/regression/PackStructVar/roundtrip/dut_000.sv | 7 | 47 | \ No newline at end of file diff --git a/tests/PackageBind/PackageBind.log b/tests/PackageBind/PackageBind.log index 5537142b1a..7abf1c166a 100644 --- a/tests/PackageBind/PackageBind.log +++ b/tests/PackageBind/PackageBind.log @@ -1066,6 +1066,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageBind/dut.sv | ${SURELOG_DIR}/build/regression/PackageBind/roundtrip/dut_000.sv | 3 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageBind/dut.sv | ${SURELOG_DIR}/build/regression/PackageBind/roundtrip/dut_000.sv | 3 | 14 | \ No newline at end of file diff --git a/tests/PackageConst/PackageConst.log b/tests/PackageConst/PackageConst.log index 20fe7c6962..106927cd6e 100644 --- a/tests/PackageConst/PackageConst.log +++ b/tests/PackageConst/PackageConst.log @@ -282,6 +282,5 @@ design: (unnamed) [WARNING] : 2 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageConst/dut.sv | ${SURELOG_DIR}/build/regression/PackageConst/roundtrip/dut_000.sv | 0 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageConst/dut.sv | ${SURELOG_DIR}/build/regression/PackageConst/roundtrip/dut_000.sv | 0 | 9 | \ No newline at end of file diff --git a/tests/PackageDpi/PackageDpi.log b/tests/PackageDpi/PackageDpi.log index 72772ce00a..2d4c340fb5 100644 --- a/tests/PackageDpi/PackageDpi.log +++ b/tests/PackageDpi/PackageDpi.log @@ -518,11 +518,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PackageDpi/dut.sv:20:1: Non synthesizable construct, toto -[LINT]: ${SURELOG_DIR}/tests/PackageDpi/dut.sv:17:1: Unsupported typespec, uvm_object_wrapper -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageDpi/dut.sv | ${SURELOG_DIR}/build/regression/PackageDpi/roundtrip/dut_000.sv | 7 | 27 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PackageDpi/dut.sv | ${SURELOG_DIR}/build/regression/PackageDpi/roundtrip/dut_000.sv | 7 | 27 | \ No newline at end of file diff --git a/tests/PackageEval/PackageEval.log b/tests/PackageEval/PackageEval.log index ebf755b9ba..c1fb906beb 100644 --- a/tests/PackageEval/PackageEval.log +++ b/tests/PackageEval/PackageEval.log @@ -541,6 +541,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageEval/dut.sv | ${SURELOG_DIR}/build/regression/PackageEval/roundtrip/dut_000.sv | 1 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageEval/dut.sv | ${SURELOG_DIR}/build/regression/PackageEval/roundtrip/dut_000.sv | 1 | 14 | \ No newline at end of file diff --git a/tests/PackageFuncCall/PackageFuncCall.log b/tests/PackageFuncCall/PackageFuncCall.log index fab5bd9f2d..dc88452692 100644 --- a/tests/PackageFuncCall/PackageFuncCall.log +++ b/tests/PackageFuncCall/PackageFuncCall.log @@ -3689,13 +3689,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PackageFuncCall/dut.sv:32:12: Illegal wire LHS, data_o -[LINT]: ${SURELOG_DIR}/tests/PackageFuncCall/dut.sv:36:11: Illegal wire LHS, data_o -[LINT]: ${SURELOG_DIR}/tests/PackageFuncCall/dut.sv:37:12: Illegal wire LHS, data_o -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/PackageFuncCall/builtin.sv | ${SURELOG_DIR}/build/regression/PackageFuncCall/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/PackageFuncCall/dut.sv | ${SURELOG_DIR}/build/regression/PackageFuncCall/roundtrip/dut_000.sv | 12 | 42 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PackageFuncCall/dut.sv | ${SURELOG_DIR}/build/regression/PackageFuncCall/roundtrip/dut_000.sv | 12 | 42 | \ No newline at end of file diff --git a/tests/PackageMemberTypespec/PackageMemberTypespec.log b/tests/PackageMemberTypespec/PackageMemberTypespec.log index ef936a3fcc..597193aa08 100644 --- a/tests/PackageMemberTypespec/PackageMemberTypespec.log +++ b/tests/PackageMemberTypespec/PackageMemberTypespec.log @@ -393,6 +393,5 @@ design: (unnamed) [WARNING] : 2 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageMemberTypespec/dut.sv | ${SURELOG_DIR}/build/regression/PackageMemberTypespec/roundtrip/dut_000.sv | 18 | 31 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageMemberTypespec/dut.sv | ${SURELOG_DIR}/build/regression/PackageMemberTypespec/roundtrip/dut_000.sv | 18 | 31 | \ No newline at end of file diff --git a/tests/PackageOrder/PackageOrder.log b/tests/PackageOrder/PackageOrder.log index 6e088d727b..5faec4238e 100644 --- a/tests/PackageOrder/PackageOrder.log +++ b/tests/PackageOrder/PackageOrder.log @@ -134,6 +134,5 @@ design: (unnamed) [WARNING] : 3 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageOrder/dut.sv | ${SURELOG_DIR}/build/regression/PackageOrder/roundtrip/dut_000.sv | 0 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageOrder/dut.sv | ${SURELOG_DIR}/build/regression/PackageOrder/roundtrip/dut_000.sv | 0 | 12 | \ No newline at end of file diff --git a/tests/PackageParam/PackageParam.log b/tests/PackageParam/PackageParam.log index f6b499c5ee..9439499dda 100644 --- a/tests/PackageParam/PackageParam.log +++ b/tests/PackageParam/PackageParam.log @@ -2570,7 +2570,6 @@ design: (unnamed) [WARNING] : 3 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/PackageParam/builtin.sv | ${SURELOG_DIR}/build/regression/PackageParam/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/PackageParam/dut.sv | ${SURELOG_DIR}/build/regression/PackageParam/roundtrip/dut_000.sv | 1 | 37 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PackageParam/dut.sv | ${SURELOG_DIR}/build/regression/PackageParam/roundtrip/dut_000.sv | 1 | 37 | \ No newline at end of file diff --git a/tests/PackageParamConst/PackageParamConst.log b/tests/PackageParamConst/PackageParamConst.log index bf93947079..c7900e4ae6 100644 --- a/tests/PackageParamConst/PackageParamConst.log +++ b/tests/PackageParamConst/PackageParamConst.log @@ -426,6 +426,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageParamConst/dut.sv | ${SURELOG_DIR}/build/regression/PackageParamConst/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageParamConst/dut.sv | ${SURELOG_DIR}/build/regression/PackageParamConst/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/PackageTypeParam/PackageTypeParam.log b/tests/PackageTypeParam/PackageTypeParam.log index 8267418881..8a7e88e4a7 100644 --- a/tests/PackageTypeParam/PackageTypeParam.log +++ b/tests/PackageTypeParam/PackageTypeParam.log @@ -900,6 +900,5 @@ design: (work@module_a) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageTypeParam/dut.sv | ${SURELOG_DIR}/build/regression/PackageTypeParam/roundtrip/dut_000.sv | 12 | 28 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackageTypeParam/dut.sv | ${SURELOG_DIR}/build/regression/PackageTypeParam/roundtrip/dut_000.sv | 12 | 28 | \ No newline at end of file diff --git a/tests/PackageValue/PackageValue.log b/tests/PackageValue/PackageValue.log index 2796b73108..549461d2fb 100644 --- a/tests/PackageValue/PackageValue.log +++ b/tests/PackageValue/PackageValue.log @@ -3801,7 +3801,6 @@ design: (work@prim_diff_decode) [WARNING] : 4 [ NOTE] : 9 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/PackageValue/builtin.sv | ${SURELOG_DIR}/build/regression/PackageValue/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/PackageValue/top.sv | ${SURELOG_DIR}/build/regression/PackageValue/roundtrip/top_000.sv | 17 | 72 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PackageValue/top.sv | ${SURELOG_DIR}/build/regression/PackageValue/roundtrip/top_000.sv | 17 | 72 | \ No newline at end of file diff --git a/tests/PackageVar/PackageVar.log b/tests/PackageVar/PackageVar.log index acab268c9c..d98a65024c 100644 --- a/tests/PackageVar/PackageVar.log +++ b/tests/PackageVar/PackageVar.log @@ -1214,16 +1214,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:6:1: Non synthesizable construct, ovm_options_container -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:3:1: Non synthesizable construct, ovm_printer -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:28:14: Non synthesizable construct, istop -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:29:13: Non synthesizable construct, print_object -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:28:14: Non synthesizable construct, istop -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:29:13: Non synthesizable construct, print_object -[LINT]: ${SURELOG_DIR}/tests/PackageVar/dut.sv:13:1: Non synthesizable construct, ovm_object -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackageVar/dut.sv | ${SURELOG_DIR}/build/regression/PackageVar/roundtrip/dut_000.sv | 15 | 42 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PackageVar/dut.sv | ${SURELOG_DIR}/build/regression/PackageVar/roundtrip/dut_000.sv | 15 | 42 | \ No newline at end of file diff --git a/tests/PackedArrayBind/PackedArrayBind.log b/tests/PackedArrayBind/PackedArrayBind.log index 471f1c833c..de3cd2c920 100644 --- a/tests/PackedArrayBind/PackedArrayBind.log +++ b/tests/PackedArrayBind/PackedArrayBind.log @@ -520,6 +520,5 @@ design: (work@PreDecodeStage) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayBind/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayBind/roundtrip/dut_000.sv | 8 | 26 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayBind/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayBind/roundtrip/dut_000.sv | 8 | 26 | \ No newline at end of file diff --git a/tests/PackedArrayEnum/PackedArrayEnum.log b/tests/PackedArrayEnum/PackedArrayEnum.log index 90ecc7d06f..6f199de6cf 100644 --- a/tests/PackedArrayEnum/PackedArrayEnum.log +++ b/tests/PackedArrayEnum/PackedArrayEnum.log @@ -268,6 +268,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayEnum/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayEnum/roundtrip/dut_000.sv | 2 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayEnum/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayEnum/roundtrip/dut_000.sv | 2 | 11 | \ No newline at end of file diff --git a/tests/PackedArrayHierPath/PackedArrayHierPath.log b/tests/PackedArrayHierPath/PackedArrayHierPath.log index 6af82a41b9..cff038f04c 100644 --- a/tests/PackedArrayHierPath/PackedArrayHierPath.log +++ b/tests/PackedArrayHierPath/PackedArrayHierPath.log @@ -1201,7 +1201,3 @@ design: (unnamed) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 4 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PackedArrayHierPath/dut.sv:17:42: Unsupported typespec, fp_format_e -============================== End Linting Results ============================== diff --git a/tests/PackedArrayStruct/PackedArrayStruct.log b/tests/PackedArrayStruct/PackedArrayStruct.log index 784f141ee8..d648fe16b6 100644 --- a/tests/PackedArrayStruct/PackedArrayStruct.log +++ b/tests/PackedArrayStruct/PackedArrayStruct.log @@ -438,6 +438,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayStruct/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayStruct/roundtrip/dut_000.sv | 3 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayStruct/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayStruct/roundtrip/dut_000.sv | 3 | 7 | \ No newline at end of file diff --git a/tests/PackedArrayTypespec/PackedArrayTypespec.log b/tests/PackedArrayTypespec/PackedArrayTypespec.log index cee233ba07..542a872551 100644 --- a/tests/PackedArrayTypespec/PackedArrayTypespec.log +++ b/tests/PackedArrayTypespec/PackedArrayTypespec.log @@ -295,6 +295,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayTypespec/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayTypespec/roundtrip/dut_000.sv | 2 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackedArrayTypespec/dut.sv | ${SURELOG_DIR}/build/regression/PackedArrayTypespec/roundtrip/dut_000.sv | 2 | 13 | \ No newline at end of file diff --git a/tests/PackedEnumVar/PackedEnumVar.log b/tests/PackedEnumVar/PackedEnumVar.log index b88d5502ed..2c7864c019 100644 --- a/tests/PackedEnumVar/PackedEnumVar.log +++ b/tests/PackedEnumVar/PackedEnumVar.log @@ -793,6 +793,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackedEnumVar/dut.sv | ${SURELOG_DIR}/build/regression/PackedEnumVar/roundtrip/dut_000.sv | 5 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackedEnumVar/dut.sv | ${SURELOG_DIR}/build/regression/PackedEnumVar/roundtrip/dut_000.sv | 5 | 19 | \ No newline at end of file diff --git a/tests/PackedUnpackedIo/PackedUnpackedIo.log b/tests/PackedUnpackedIo/PackedUnpackedIo.log index 6215436454..233416ea1a 100644 --- a/tests/PackedUnpackedIo/PackedUnpackedIo.log +++ b/tests/PackedUnpackedIo/PackedUnpackedIo.log @@ -1435,6 +1435,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PackedUnpackedIo/dut.sv | ${SURELOG_DIR}/build/regression/PackedUnpackedIo/roundtrip/dut_000.sv | 8 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PackedUnpackedIo/dut.sv | ${SURELOG_DIR}/build/regression/PackedUnpackedIo/roundtrip/dut_000.sv | 8 | 13 | \ No newline at end of file diff --git a/tests/ParamArrayUnsizedPattern/ParamArrayUnsizedPattern.log b/tests/ParamArrayUnsizedPattern/ParamArrayUnsizedPattern.log index 988f38d0ca..b58ef93e87 100644 --- a/tests/ParamArrayUnsizedPattern/ParamArrayUnsizedPattern.log +++ b/tests/ParamArrayUnsizedPattern/ParamArrayUnsizedPattern.log @@ -1004,6 +1004,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamArrayUnsizedPattern/dut.sv | ${SURELOG_DIR}/build/regression/ParamArrayUnsizedPattern/roundtrip/dut_000.sv | 6 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamArrayUnsizedPattern/dut.sv | ${SURELOG_DIR}/build/regression/ParamArrayUnsizedPattern/roundtrip/dut_000.sv | 6 | 8 | \ No newline at end of file diff --git a/tests/ParamByValue/ParamByValue.log b/tests/ParamByValue/ParamByValue.log index 44834d05c3..fb6d2fd647 100644 --- a/tests/ParamByValue/ParamByValue.log +++ b/tests/ParamByValue/ParamByValue.log @@ -1332,6 +1332,5 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamByValue/dut.sv | ${SURELOG_DIR}/build/regression/ParamByValue/roundtrip/dut_000.sv | 11 | 27 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamByValue/dut.sv | ${SURELOG_DIR}/build/regression/ParamByValue/roundtrip/dut_000.sv | 11 | 27 | \ No newline at end of file diff --git a/tests/ParamCast/ParamCast.log b/tests/ParamCast/ParamCast.log index c8a394e41a..e85057b55a 100644 --- a/tests/ParamCast/ParamCast.log +++ b/tests/ParamCast/ParamCast.log @@ -1566,7 +1566,6 @@ design: (work@otp_ctrl) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ParamCast/builtin.sv | ${SURELOG_DIR}/build/regression/ParamCast/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ParamCast/dut.sv | ${SURELOG_DIR}/build/regression/ParamCast/roundtrip/dut_000.sv | 2 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamCast/dut.sv | ${SURELOG_DIR}/build/regression/ParamCast/roundtrip/dut_000.sv | 2 | 18 | \ No newline at end of file diff --git a/tests/ParamComplex/ParamComplex.log b/tests/ParamComplex/ParamComplex.log index 664ba28498..689cf2688c 100644 --- a/tests/ParamComplex/ParamComplex.log +++ b/tests/ParamComplex/ParamComplex.log @@ -2102,7 +2102,6 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ParamComplex/builtin.sv | ${SURELOG_DIR}/build/regression/ParamComplex/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ParamComplex/dut.sv | ${SURELOG_DIR}/build/regression/ParamComplex/roundtrip/dut_000.sv | 8 | 22 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamComplex/dut.sv | ${SURELOG_DIR}/build/regression/ParamComplex/roundtrip/dut_000.sv | 8 | 22 | \ No newline at end of file diff --git a/tests/ParamComplexVerilator/ParamComplexVerilator.log b/tests/ParamComplexVerilator/ParamComplexVerilator.log index 1caa3c629e..cebee51f98 100644 --- a/tests/ParamComplexVerilator/ParamComplexVerilator.log +++ b/tests/ParamComplexVerilator/ParamComplexVerilator.log @@ -2011,7 +2011,6 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ParamComplexVerilator/builtin.sv | ${SURELOG_DIR}/build/regression/ParamComplexVerilator/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ParamComplexVerilator/dut.sv | ${SURELOG_DIR}/build/regression/ParamComplexVerilator/roundtrip/dut_000.sv | 8 | 22 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamComplexVerilator/dut.sv | ${SURELOG_DIR}/build/regression/ParamComplexVerilator/roundtrip/dut_000.sv | 8 | 22 | \ No newline at end of file diff --git a/tests/ParamConcat/ParamConcat.log b/tests/ParamConcat/ParamConcat.log index be8146c759..a1584e42b9 100644 --- a/tests/ParamConcat/ParamConcat.log +++ b/tests/ParamConcat/ParamConcat.log @@ -1730,7 +1730,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ParamConcat/builtin.sv | ${SURELOG_DIR}/build/regression/ParamConcat/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ParamConcat/dut.sv | ${SURELOG_DIR}/build/regression/ParamConcat/roundtrip/dut_000.sv | 5 | 19 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamConcat/dut.sv | ${SURELOG_DIR}/build/regression/ParamConcat/roundtrip/dut_000.sv | 5 | 19 | \ No newline at end of file diff --git a/tests/ParamConst/ParamConst.log b/tests/ParamConst/ParamConst.log index b9c71a3cd9..f77c58f3e7 100644 --- a/tests/ParamConst/ParamConst.log +++ b/tests/ParamConst/ParamConst.log @@ -2308,7 +2308,6 @@ design: (work@top) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ParamConst/builtin.sv | ${SURELOG_DIR}/build/regression/ParamConst/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ParamConst/dut.sv | ${SURELOG_DIR}/build/regression/ParamConst/roundtrip/dut_000.sv | 11 | 25 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamConst/dut.sv | ${SURELOG_DIR}/build/regression/ParamConst/roundtrip/dut_000.sv | 11 | 25 | \ No newline at end of file diff --git a/tests/ParamConstPush/ParamConstPush.log b/tests/ParamConstPush/ParamConstPush.log index 87d2ed0093..bbe41731a2 100644 --- a/tests/ParamConstPush/ParamConstPush.log +++ b/tests/ParamConstPush/ParamConstPush.log @@ -789,6 +789,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamConstPush/dut.sv | ${SURELOG_DIR}/build/regression/ParamConstPush/roundtrip/dut_000.sv | 2 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamConstPush/dut.sv | ${SURELOG_DIR}/build/regression/ParamConstPush/roundtrip/dut_000.sv | 2 | 11 | \ No newline at end of file diff --git a/tests/ParamElab/ParamElab.log b/tests/ParamElab/ParamElab.log index f725f67081..a889f26a4d 100644 --- a/tests/ParamElab/ParamElab.log +++ b/tests/ParamElab/ParamElab.log @@ -1649,7 +1649,6 @@ design: (work@dut) [WARNING] : 8 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ParamElab/builtin.sv | ${SURELOG_DIR}/build/regression/ParamElab/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ParamElab/dut.sv | ${SURELOG_DIR}/build/regression/ParamElab/roundtrip/dut_000.sv | 12 | 20 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamElab/dut.sv | ${SURELOG_DIR}/build/regression/ParamElab/roundtrip/dut_000.sv | 12 | 20 | \ No newline at end of file diff --git a/tests/ParamElabMulti/ParamElabMulti.log b/tests/ParamElabMulti/ParamElabMulti.log index e5d6a16f3a..c0832285ad 100644 --- a/tests/ParamElabMulti/ParamElabMulti.log +++ b/tests/ParamElabMulti/ParamElabMulti.log @@ -3391,6 +3391,5 @@ design: (work@top) [WARNING] : 6 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamElabMulti/dut.sv | ${SURELOG_DIR}/build/regression/ParamElabMulti/roundtrip/dut_000.sv | 24 | 61 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamElabMulti/dut.sv | ${SURELOG_DIR}/build/regression/ParamElabMulti/roundtrip/dut_000.sv | 24 | 61 | \ No newline at end of file diff --git a/tests/ParamFile/ParamFile.log b/tests/ParamFile/ParamFile.log index e940f48e08..0c8a4bb0fa 100644 --- a/tests/ParamFile/ParamFile.log +++ b/tests/ParamFile/ParamFile.log @@ -747,6 +747,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamFile/dut.sv | ${SURELOG_DIR}/build/regression/ParamFile/roundtrip/dut_000.sv | 18 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamFile/dut.sv | ${SURELOG_DIR}/build/regression/ParamFile/roundtrip/dut_000.sv | 18 | 30 | \ No newline at end of file diff --git a/tests/ParamFile/ParamFileNoTop.log b/tests/ParamFile/ParamFileNoTop.log index b260810679..492c9a5856 100644 --- a/tests/ParamFile/ParamFileNoTop.log +++ b/tests/ParamFile/ParamFileNoTop.log @@ -573,6 +573,5 @@ design: (unnamed) [WARNING] : 3 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamFile/dut.sv | ${SURELOG_DIR}/build/regression/ParamFileNoTop/roundtrip/dut_000.sv | 18 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamFile/dut.sv | ${SURELOG_DIR}/build/regression/ParamFileNoTop/roundtrip/dut_000.sv | 18 | 30 | \ No newline at end of file diff --git a/tests/ParamFile/ParamFileOverr.log b/tests/ParamFile/ParamFileOverr.log index 829d080905..601d269a38 100644 --- a/tests/ParamFile/ParamFileOverr.log +++ b/tests/ParamFile/ParamFileOverr.log @@ -727,6 +727,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamFile/dut.sv | ${SURELOG_DIR}/build/regression/ParamFileOverr/roundtrip/dut_000.sv | 18 | 30 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamFile/dut.sv | ${SURELOG_DIR}/build/regression/ParamFileOverr/roundtrip/dut_000.sv | 18 | 30 | \ No newline at end of file diff --git a/tests/ParamFromPackage/ParamFromPackage.log b/tests/ParamFromPackage/ParamFromPackage.log index 279750a454..f4d40130ff 100644 --- a/tests/ParamFromPackage/ParamFromPackage.log +++ b/tests/ParamFromPackage/ParamFromPackage.log @@ -957,6 +957,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamFromPackage/dut.sv | ${SURELOG_DIR}/build/regression/ParamFromPackage/roundtrip/dut_000.sv | 6 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamFromPackage/dut.sv | ${SURELOG_DIR}/build/regression/ParamFromPackage/roundtrip/dut_000.sv | 6 | 14 | \ No newline at end of file diff --git a/tests/ParamInFunc/ParamInFunc.log b/tests/ParamInFunc/ParamInFunc.log index c921b58e4b..9c52f7bd8e 100644 --- a/tests/ParamInFunc/ParamInFunc.log +++ b/tests/ParamInFunc/ParamInFunc.log @@ -764,6 +764,5 @@ design: (work@func_block_top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamInFunc/dut.sv | ${SURELOG_DIR}/build/regression/ParamInFunc/roundtrip/dut_000.sv | 4 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamInFunc/dut.sv | ${SURELOG_DIR}/build/regression/ParamInFunc/roundtrip/dut_000.sv | 4 | 10 | \ No newline at end of file diff --git a/tests/ParamIndex/ParamIndex.log b/tests/ParamIndex/ParamIndex.log index ebf67997bb..f3454e63f9 100644 --- a/tests/ParamIndex/ParamIndex.log +++ b/tests/ParamIndex/ParamIndex.log @@ -1383,6 +1383,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamIndex/dut.sv | ${SURELOG_DIR}/build/regression/ParamIndex/roundtrip/dut_000.sv | 12 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamIndex/dut.sv | ${SURELOG_DIR}/build/regression/ParamIndex/roundtrip/dut_000.sv | 12 | 23 | \ No newline at end of file diff --git a/tests/ParamMultiConcat/ParamMultiConcat.log b/tests/ParamMultiConcat/ParamMultiConcat.log index ca077c1128..b770ccc7ff 100644 --- a/tests/ParamMultiConcat/ParamMultiConcat.log +++ b/tests/ParamMultiConcat/ParamMultiConcat.log @@ -818,6 +818,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamMultiConcat/dut.sv | ${SURELOG_DIR}/build/regression/ParamMultiConcat/roundtrip/dut_000.sv | 10 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamMultiConcat/dut.sv | ${SURELOG_DIR}/build/regression/ParamMultiConcat/roundtrip/dut_000.sv | 10 | 18 | \ No newline at end of file diff --git a/tests/ParamNoDefault/ParamNoDefault.log b/tests/ParamNoDefault/ParamNoDefault.log index f725839958..fc1f595b18 100644 --- a/tests/ParamNoDefault/ParamNoDefault.log +++ b/tests/ParamNoDefault/ParamNoDefault.log @@ -621,6 +621,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamNoDefault/dut.sv | ${SURELOG_DIR}/build/regression/ParamNoDefault/roundtrip/dut_000.sv | 3 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamNoDefault/dut.sv | ${SURELOG_DIR}/build/regression/ParamNoDefault/roundtrip/dut_000.sv | 3 | 18 | \ No newline at end of file diff --git a/tests/ParamNoImport/ParamNoImport.log b/tests/ParamNoImport/ParamNoImport.log index 8699a890fe..a5b0807940 100644 --- a/tests/ParamNoImport/ParamNoImport.log +++ b/tests/ParamNoImport/ParamNoImport.log @@ -616,6 +616,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamNoImport/dut.sv | ${SURELOG_DIR}/build/regression/ParamNoImport/roundtrip/dut_000.sv | 2 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamNoImport/dut.sv | ${SURELOG_DIR}/build/regression/ParamNoImport/roundtrip/dut_000.sv | 2 | 18 | \ No newline at end of file diff --git a/tests/ParamOverload2/ParamOverload2.log b/tests/ParamOverload2/ParamOverload2.log index 8e8d963aff..b18105010a 100644 --- a/tests/ParamOverload2/ParamOverload2.log +++ b/tests/ParamOverload2/ParamOverload2.log @@ -1240,6 +1240,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamOverload2/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverload2/roundtrip/dut_000.sv | 6 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamOverload2/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverload2/roundtrip/dut_000.sv | 6 | 18 | \ No newline at end of file diff --git a/tests/ParamOverload3/ParamOverload3.log b/tests/ParamOverload3/ParamOverload3.log index a7df48b4e1..91d170616e 100644 --- a/tests/ParamOverload3/ParamOverload3.log +++ b/tests/ParamOverload3/ParamOverload3.log @@ -3669,13 +3669,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ParamOverload3/dut.sv:29:14: Unsupported typespec, fpu_features_t -[LINT]: \_ ${SURELOG_DIR}/tests/ParamOverload3/dut.sv:29:29: -[LINT]: ${SURELOG_DIR}/tests/ParamOverload3/dut.sv:45:14: Unsupported typespec, fpnew_pkg::fpu_features_t -[LINT]: \_ :0:0: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamOverload3/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverload3/roundtrip/dut_000.sv | 23 | 64 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ParamOverload3/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverload3/roundtrip/dut_000.sv | 23 | 64 | \ No newline at end of file diff --git a/tests/ParamOverload4/ParamOverload4.log b/tests/ParamOverload4/ParamOverload4.log index 752da161e4..6d90c18de0 100644 --- a/tests/ParamOverload4/ParamOverload4.log +++ b/tests/ParamOverload4/ParamOverload4.log @@ -6041,6 +6041,5 @@ design: (work@ibex_pmp) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamOverload4/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverload4/roundtrip/dut_000.sv | 12 | 34 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamOverload4/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverload4/roundtrip/dut_000.sv | 12 | 34 | \ No newline at end of file diff --git a/tests/ParamOverloadProp/ParamOverloadProp.log b/tests/ParamOverloadProp/ParamOverloadProp.log index d4f241a1b4..38daf48788 100644 --- a/tests/ParamOverloadProp/ParamOverloadProp.log +++ b/tests/ParamOverloadProp/ParamOverloadProp.log @@ -415,6 +415,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamOverloadProp/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverloadProp/roundtrip/dut_000.sv | 3 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamOverloadProp/dut.sv | ${SURELOG_DIR}/build/regression/ParamOverloadProp/roundtrip/dut_000.sv | 3 | 10 | \ No newline at end of file diff --git a/tests/ParamRef/ParamRef.log b/tests/ParamRef/ParamRef.log index 98447b1fc8..492e64c4d1 100644 --- a/tests/ParamRef/ParamRef.log +++ b/tests/ParamRef/ParamRef.log @@ -950,6 +950,5 @@ design: (work@top_earlgrey) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamRef/dut.sv | ${SURELOG_DIR}/build/regression/ParamRef/roundtrip/dut_000.sv | 7 | 27 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamRef/dut.sv | ${SURELOG_DIR}/build/regression/ParamRef/roundtrip/dut_000.sv | 7 | 27 | \ No newline at end of file diff --git a/tests/ParamScope/ParamScope.log b/tests/ParamScope/ParamScope.log index e09c3e4ecc..dcb04160d3 100644 --- a/tests/ParamScope/ParamScope.log +++ b/tests/ParamScope/ParamScope.log @@ -1041,6 +1041,5 @@ design: (work@top) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamScope/dut.sv | ${SURELOG_DIR}/build/regression/ParamScope/roundtrip/dut_000.sv | 5 | 25 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamScope/dut.sv | ${SURELOG_DIR}/build/regression/ParamScope/roundtrip/dut_000.sv | 5 | 25 | \ No newline at end of file diff --git a/tests/ParamTypespec/ParamTypespec.log b/tests/ParamTypespec/ParamTypespec.log index cb43d5e704..fa3e88f1b0 100644 --- a/tests/ParamTypespec/ParamTypespec.log +++ b/tests/ParamTypespec/ParamTypespec.log @@ -979,6 +979,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ParamTypespec/dut.sv | ${SURELOG_DIR}/build/regression/ParamTypespec/roundtrip/dut_000.sv | 8 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ParamTypespec/dut.sv | ${SURELOG_DIR}/build/regression/ParamTypespec/roundtrip/dut_000.sv | 8 | 16 | \ No newline at end of file diff --git a/tests/PartSelect3/PartSelect3.log b/tests/PartSelect3/PartSelect3.log index d9e73ada5c..742685ef50 100644 --- a/tests/PartSelect3/PartSelect3.log +++ b/tests/PartSelect3/PartSelect3.log @@ -462,6 +462,5 @@ design: (work@t) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PartSelect3/dut.sv | ${SURELOG_DIR}/build/regression/PartSelect3/roundtrip/dut_000.sv | 1 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PartSelect3/dut.sv | ${SURELOG_DIR}/build/regression/PartSelect3/roundtrip/dut_000.sv | 1 | 4 | \ No newline at end of file diff --git a/tests/PartSelectElab/PartSelectElab.log b/tests/PartSelectElab/PartSelectElab.log index 66fa024cc7..622dfd32ed 100644 --- a/tests/PartSelectElab/PartSelectElab.log +++ b/tests/PartSelectElab/PartSelectElab.log @@ -452,6 +452,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PartSelectElab/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectElab/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PartSelectElab/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectElab/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/PartSelectHier/PartSelectHier.log b/tests/PartSelectHier/PartSelectHier.log index 0d60ac76c8..72e7ff1d8a 100644 --- a/tests/PartSelectHier/PartSelectHier.log +++ b/tests/PartSelectHier/PartSelectHier.log @@ -500,6 +500,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PartSelectHier/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectHier/roundtrip/dut_000.sv | 2 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PartSelectHier/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectHier/roundtrip/dut_000.sv | 2 | 10 | \ No newline at end of file diff --git a/tests/PartSelectHierPath/PartSelectHierPath.log b/tests/PartSelectHierPath/PartSelectHierPath.log index 5169a34bb5..77bdae0172 100644 --- a/tests/PartSelectHierPath/PartSelectHierPath.log +++ b/tests/PartSelectHierPath/PartSelectHierPath.log @@ -535,6 +535,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PartSelectHierPath/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectHierPath/roundtrip/dut_000.sv | 2 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PartSelectHierPath/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectHierPath/roundtrip/dut_000.sv | 2 | 14 | \ No newline at end of file diff --git a/tests/PartSelectNoParent/PartSelectNoParent.log b/tests/PartSelectNoParent/PartSelectNoParent.log index 0790da006c..b878f4d88d 100644 --- a/tests/PartSelectNoParent/PartSelectNoParent.log +++ b/tests/PartSelectNoParent/PartSelectNoParent.log @@ -1592,6 +1592,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PartSelectNoParent/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectNoParent/roundtrip/dut_000.sv | 7 | 19 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PartSelectNoParent/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectNoParent/roundtrip/dut_000.sv | 7 | 19 | \ No newline at end of file diff --git a/tests/PartSelectParent/PartSelectParent.log b/tests/PartSelectParent/PartSelectParent.log index 2bcf73f23e..d0ddce9ca6 100644 --- a/tests/PartSelectParent/PartSelectParent.log +++ b/tests/PartSelectParent/PartSelectParent.log @@ -474,6 +474,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PartSelectParent/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectParent/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PartSelectParent/dut.sv | ${SURELOG_DIR}/build/regression/PartSelectParent/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/PatternAssignLogic/PatternAssignLogic.log b/tests/PatternAssignLogic/PatternAssignLogic.log index debc712c11..de16e2f20f 100644 --- a/tests/PatternAssignLogic/PatternAssignLogic.log +++ b/tests/PatternAssignLogic/PatternAssignLogic.log @@ -249,6 +249,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PatternAssignLogic/dut.sv | ${SURELOG_DIR}/build/regression/PatternAssignLogic/roundtrip/dut_000.sv | 0 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PatternAssignLogic/dut.sv | ${SURELOG_DIR}/build/regression/PatternAssignLogic/roundtrip/dut_000.sv | 0 | 4 | \ No newline at end of file diff --git a/tests/PatternAssignment/PatternAssignment.log b/tests/PatternAssignment/PatternAssignment.log index b910700780..462a69762b 100644 --- a/tests/PatternAssignment/PatternAssignment.log +++ b/tests/PatternAssignment/PatternAssignment.log @@ -573,6 +573,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PatternAssignment/dut.sv | ${SURELOG_DIR}/build/regression/PatternAssignment/roundtrip/dut_000.sv | 2 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PatternAssignment/dut.sv | ${SURELOG_DIR}/build/regression/PatternAssignment/roundtrip/dut_000.sv | 2 | 15 | \ No newline at end of file diff --git a/tests/PatternOrder/PatternOrder.log b/tests/PatternOrder/PatternOrder.log index e5688d6f50..3cb595dacc 100644 --- a/tests/PatternOrder/PatternOrder.log +++ b/tests/PatternOrder/PatternOrder.log @@ -555,10 +555,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PatternOrder/dut.sv:6:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/PatternOrder/dut.sv:6:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/PatternOrder/dut.sv:6:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/PatternOrder/dut.sv:6:5: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/PkgImportFunc/PkgImportFunc.log b/tests/PkgImportFunc/PkgImportFunc.log index ba31cb4ac1..bbc758f2b1 100644 --- a/tests/PkgImportFunc/PkgImportFunc.log +++ b/tests/PkgImportFunc/PkgImportFunc.log @@ -1017,6 +1017,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PkgImportFunc/dut.sv | ${SURELOG_DIR}/build/regression/PkgImportFunc/roundtrip/dut_000.sv | 3 | 14 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PkgImportFunc/dut.sv | ${SURELOG_DIR}/build/regression/PkgImportFunc/roundtrip/dut_000.sv | 3 | 14 | \ No newline at end of file diff --git a/tests/PkgImportPkg/PkgImportPkg.log b/tests/PkgImportPkg/PkgImportPkg.log index 2e1b273ee5..b7df19a2a1 100644 --- a/tests/PkgImportPkg/PkgImportPkg.log +++ b/tests/PkgImportPkg/PkgImportPkg.log @@ -452,10 +452,5 @@ design: (work@otp_ctrl) [WARNING] : 3 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PkgImportPkg/dut.sv:15:10: Unsupported typespec, otp_ast_rsp_t -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PkgImportPkg/dut.sv | ${SURELOG_DIR}/build/regression/PkgImportPkg/roundtrip/dut_000.sv | 8 | 17 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PkgImportPkg/dut.sv | ${SURELOG_DIR}/build/regression/PkgImportPkg/roundtrip/dut_000.sv | 8 | 17 | \ No newline at end of file diff --git a/tests/PortByName/PortByName.log b/tests/PortByName/PortByName.log index 0782577d0f..3e878176be 100644 --- a/tests/PortByName/PortByName.log +++ b/tests/PortByName/PortByName.log @@ -1194,6 +1194,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortByName/dut.sv | ${SURELOG_DIR}/build/regression/PortByName/roundtrip/dut_000.sv | 12 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortByName/dut.sv | ${SURELOG_DIR}/build/regression/PortByName/roundtrip/dut_000.sv | 12 | 20 | \ No newline at end of file diff --git a/tests/PortComplex/PortComplex.log b/tests/PortComplex/PortComplex.log index 08284e06ac..548b74840b 100644 --- a/tests/PortComplex/PortComplex.log +++ b/tests/PortComplex/PortComplex.log @@ -1410,6 +1410,5 @@ design: (work@dut) [WARNING] : 4 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortComplex/dut.sv | ${SURELOG_DIR}/build/regression/PortComplex/roundtrip/dut_000.sv | 8 | 33 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortComplex/dut.sv | ${SURELOG_DIR}/build/regression/PortComplex/roundtrip/dut_000.sv | 8 | 33 | \ No newline at end of file diff --git a/tests/PortDefaultValue/PortDefaultValue.log b/tests/PortDefaultValue/PortDefaultValue.log index f0e8a859eb..15cb63bae2 100644 --- a/tests/PortDefaultValue/PortDefaultValue.log +++ b/tests/PortDefaultValue/PortDefaultValue.log @@ -300,6 +300,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortDefaultValue/dut.sv | ${SURELOG_DIR}/build/regression/PortDefaultValue/roundtrip/dut_000.sv | 2 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortDefaultValue/dut.sv | ${SURELOG_DIR}/build/regression/PortDefaultValue/roundtrip/dut_000.sv | 2 | 8 | \ No newline at end of file diff --git a/tests/PortExpr/PortExpr.log b/tests/PortExpr/PortExpr.log index 0aa544d800..dfe38815e1 100644 --- a/tests/PortExpr/PortExpr.log +++ b/tests/PortExpr/PortExpr.log @@ -272,10 +272,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: :0:0: Unsupported typespec, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortExpr/dut.sv | ${SURELOG_DIR}/build/regression/PortExpr/roundtrip/dut_000.sv | 4 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PortExpr/dut.sv | ${SURELOG_DIR}/build/regression/PortExpr/roundtrip/dut_000.sv | 4 | 6 | \ No newline at end of file diff --git a/tests/PortInitVal/PortInitVal.log b/tests/PortInitVal/PortInitVal.log index 356c601281..338f04bd5d 100644 --- a/tests/PortInitVal/PortInitVal.log +++ b/tests/PortInitVal/PortInitVal.log @@ -478,6 +478,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortInitVal/dut.sv | ${SURELOG_DIR}/build/regression/PortInitVal/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortInitVal/dut.sv | ${SURELOG_DIR}/build/regression/PortInitVal/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/PortInterface/PortInterface.log b/tests/PortInterface/PortInterface.log index 440aad5843..c05d7fd87d 100644 --- a/tests/PortInterface/PortInterface.log +++ b/tests/PortInterface/PortInterface.log @@ -603,6 +603,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortInterface/dut.sv | ${SURELOG_DIR}/build/regression/PortInterface/roundtrip/dut_000.sv | 4 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortInterface/dut.sv | ${SURELOG_DIR}/build/regression/PortInterface/roundtrip/dut_000.sv | 4 | 18 | \ No newline at end of file diff --git a/tests/PortMultiDim/PortMultiDim.log b/tests/PortMultiDim/PortMultiDim.log index e7feef1f98..560efe377b 100644 --- a/tests/PortMultiDim/PortMultiDim.log +++ b/tests/PortMultiDim/PortMultiDim.log @@ -451,6 +451,5 @@ design: (work@ibex_multdiv_fast) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortMultiDim/dut.sv | ${SURELOG_DIR}/build/regression/PortMultiDim/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortMultiDim/dut.sv | ${SURELOG_DIR}/build/regression/PortMultiDim/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/PortPackage/PortPackage.log b/tests/PortPackage/PortPackage.log index 3ea1bcecb5..ac9de24beb 100644 --- a/tests/PortPackage/PortPackage.log +++ b/tests/PortPackage/PortPackage.log @@ -468,6 +468,5 @@ design: (work@dm_top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PortPackage/dut.sv | ${SURELOG_DIR}/build/regression/PortPackage/roundtrip/dut_000.sv | 4 | 17 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PortPackage/dut.sv | ${SURELOG_DIR}/build/regression/PortPackage/roundtrip/dut_000.sv | 4 | 17 | \ No newline at end of file diff --git a/tests/PortRanges/PortRanges.log b/tests/PortRanges/PortRanges.log index d31bf97073..8f3a879167 100644 --- a/tests/PortRanges/PortRanges.log +++ b/tests/PortRanges/PortRanges.log @@ -2298,7 +2298,6 @@ design: (work@DFlipflop8Bit) [WARNING] : 2 [ NOTE] : 9 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/PortRanges/builtin.sv | ${SURELOG_DIR}/build/regression/PortRanges/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/PortRanges/dut.sv | ${SURELOG_DIR}/build/regression/PortRanges/roundtrip/dut_000.sv | 8 | 17 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PortRanges/dut.sv | ${SURELOG_DIR}/build/regression/PortRanges/roundtrip/dut_000.sv | 8 | 17 | \ No newline at end of file diff --git a/tests/PortWildcard/PortWildcard.log b/tests/PortWildcard/PortWildcard.log index 5c9fa6f9bd..02725d88c5 100644 --- a/tests/PortWildcard/PortWildcard.log +++ b/tests/PortWildcard/PortWildcard.log @@ -2002,7 +2002,6 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/PortWildcard/builtin.sv | ${SURELOG_DIR}/build/regression/PortWildcard/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/PortWildcard/dut.sv | ${SURELOG_DIR}/build/regression/PortWildcard/roundtrip/dut_000.sv | 12 | 21 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PortWildcard/dut.sv | ${SURELOG_DIR}/build/regression/PortWildcard/roundtrip/dut_000.sv | 12 | 21 | \ No newline at end of file diff --git a/tests/PoundDelay/PoundDelay.log b/tests/PoundDelay/PoundDelay.log index bc7aa02e8c..ab34701bcd 100644 --- a/tests/PoundDelay/PoundDelay.log +++ b/tests/PoundDelay/PoundDelay.log @@ -63,6 +63,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PoundDelay/dut.sv | ${SURELOG_DIR}/build/regression/PoundDelay/roundtrip/dut_000.sv | 3 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PoundDelay/dut.sv | ${SURELOG_DIR}/build/regression/PoundDelay/roundtrip/dut_000.sv | 3 | 5 | \ No newline at end of file diff --git a/tests/PoundDelayTask/PoundDelayTask.log b/tests/PoundDelayTask/PoundDelayTask.log index 108fce0229..48fa363160 100644 --- a/tests/PoundDelayTask/PoundDelayTask.log +++ b/tests/PoundDelayTask/PoundDelayTask.log @@ -207,11 +207,5 @@ design: (work@m) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PoundDelayTask/dut.sv:7:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/PoundDelayTask/dut.sv:7:9: Non synthesizable construct, -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PoundDelayTask/dut.sv | ${SURELOG_DIR}/build/regression/PoundDelayTask/roundtrip/dut_000.sv | 1 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PoundDelayTask/dut.sv | ${SURELOG_DIR}/build/regression/PoundDelayTask/roundtrip/dut_000.sv | 1 | 9 | \ No newline at end of file diff --git a/tests/PpLppdr/PpLppdr.log b/tests/PpLppdr/PpLppdr.log index ee14273b7b..238e6c2ad2 100644 --- a/tests/PpLppdr/PpLppdr.log +++ b/tests/PpLppdr/PpLppdr.log @@ -375,6 +375,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PpLppdr/dut.sv | ${SURELOG_DIR}/build/regression/PpLppdr/roundtrip/dut_000.sv | 11 | 25 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PpLppdr/dut.sv | ${SURELOG_DIR}/build/regression/PpLppdr/roundtrip/dut_000.sv | 11 | 25 | \ No newline at end of file diff --git a/tests/PragmaProtect/PragmaProtect.log b/tests/PragmaProtect/PragmaProtect.log index 04d272c79d..2a0c4ab0eb 100644 --- a/tests/PragmaProtect/PragmaProtect.log +++ b/tests/PragmaProtect/PragmaProtect.log @@ -78,9 +78,3 @@ task 9 [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/PragmaProtect/top.sv:33:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/PragmaProtect/top.sv:40:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/PragmaProtect/top.sv:46:23: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/PreprocString/PreprocString.log b/tests/PreprocString/PreprocString.log index d43b86ccf7..72e882af6e 100644 --- a/tests/PreprocString/PreprocString.log +++ b/tests/PreprocString/PreprocString.log @@ -147,6 +147,5 @@ design: (work@test) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PreprocString/dut.sv | ${SURELOG_DIR}/build/regression/PreprocString/roundtrip/dut_000.sv | 3 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PreprocString/dut.sv | ${SURELOG_DIR}/build/regression/PreprocString/roundtrip/dut_000.sv | 3 | 9 | \ No newline at end of file diff --git a/tests/PreprocTest/PreprocTest.log b/tests/PreprocTest/PreprocTest.log index c501293d15..14f276dfeb 100644 --- a/tests/PreprocTest/PreprocTest.log +++ b/tests/PreprocTest/PreprocTest.log @@ -58,9 +58,8 @@ task 9 [WARNING] : 2 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/PreprocTest/bp_common_me_if.vh | ${SURELOG_DIR}/build/regression/PreprocTest/roundtrip/bp_common_me_if_000.vh | 6 | 9 | [roundtrip]: ${SURELOG_DIR}/tests/PreprocTest/bp_common_pkg.vh | ${SURELOG_DIR}/build/regression/PreprocTest/roundtrip/bp_common_pkg_000.vh | 2 | 7 | [roundtrip]: ${SURELOG_DIR}/tests/PreprocTest/bp_fe_icache_pkg.vh | ${SURELOG_DIR}/build/regression/PreprocTest/roundtrip/bp_fe_icache_pkg_000.vh | 3 | 9 | -[roundtrip]: ${SURELOG_DIR}/tests/PreprocTest/builtin.sv | ${SURELOG_DIR}/build/regression/PreprocTest/roundtrip/builtin_000.sv | 0 | 0 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/PreprocTest/builtin.sv | ${SURELOG_DIR}/build/regression/PreprocTest/roundtrip/builtin_000.sv | 0 | 0 | \ No newline at end of file diff --git a/tests/PrimTermExpr/PrimTermExpr.log b/tests/PrimTermExpr/PrimTermExpr.log index 5b01f0af47..3dffb5a392 100644 --- a/tests/PrimTermExpr/PrimTermExpr.log +++ b/tests/PrimTermExpr/PrimTermExpr.log @@ -916,6 +916,5 @@ design: (work@encoder_4to2_gates) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/PrimTermExpr/dut.sv | ${SURELOG_DIR}/build/regression/PrimTermExpr/roundtrip/dut_000.sv | 2 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/PrimTermExpr/dut.sv | ${SURELOG_DIR}/build/regression/PrimTermExpr/roundtrip/dut_000.sv | 2 | 8 | \ No newline at end of file diff --git a/tests/ProcForLoop/ProcForLoop.log b/tests/ProcForLoop/ProcForLoop.log index 0981ddf006..7650700dd2 100644 --- a/tests/ProcForLoop/ProcForLoop.log +++ b/tests/ProcForLoop/ProcForLoop.log @@ -1612,7 +1612,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/ProcForLoop/builtin.sv | ${SURELOG_DIR}/build/regression/ProcForLoop/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/ProcForLoop/dut.sv | ${SURELOG_DIR}/build/regression/ProcForLoop/roundtrip/dut_000.sv | 1 | 11 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ProcForLoop/dut.sv | ${SURELOG_DIR}/build/regression/ProcForLoop/roundtrip/dut_000.sv | 1 | 11 | \ No newline at end of file diff --git a/tests/RangeSelect/RangeSelect.log b/tests/RangeSelect/RangeSelect.log index 8440d14fe1..53dc702908 100644 --- a/tests/RangeSelect/RangeSelect.log +++ b/tests/RangeSelect/RangeSelect.log @@ -412,6 +412,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/RangeSelect/dut.sv | ${SURELOG_DIR}/build/regression/RangeSelect/roundtrip/dut_000.sv | 4 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/RangeSelect/dut.sv | ${SURELOG_DIR}/build/regression/RangeSelect/roundtrip/dut_000.sv | 4 | 11 | \ No newline at end of file diff --git a/tests/RepeatStmt/RepeatStmt.log b/tests/RepeatStmt/RepeatStmt.log index 011ff7441a..ed3669bff0 100644 --- a/tests/RepeatStmt/RepeatStmt.log +++ b/tests/RepeatStmt/RepeatStmt.log @@ -630,6 +630,5 @@ design: (work@constfunc11) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/RepeatStmt/dut.sv | ${SURELOG_DIR}/build/regression/RepeatStmt/roundtrip/dut_000.sv | 6 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/RepeatStmt/dut.sv | ${SURELOG_DIR}/build/regression/RepeatStmt/roundtrip/dut_000.sv | 6 | 23 | \ No newline at end of file diff --git a/tests/ReturnVal/ReturnVal.log b/tests/ReturnVal/ReturnVal.log index 292eadc158..908d33765e 100644 --- a/tests/ReturnVal/ReturnVal.log +++ b/tests/ReturnVal/ReturnVal.log @@ -256,6 +256,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ReturnVal/dut.sv | ${SURELOG_DIR}/build/regression/ReturnVal/roundtrip/dut_000.sv | 0 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ReturnVal/dut.sv | ${SURELOG_DIR}/build/regression/ReturnVal/roundtrip/dut_000.sv | 0 | 9 | \ No newline at end of file diff --git a/tests/Rom/Rom.log b/tests/Rom/Rom.log index d0a8414271..056a287039 100644 --- a/tests/Rom/Rom.log +++ b/tests/Rom/Rom.log @@ -1963,7 +1963,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Rom/builtin.sv | ${SURELOG_DIR}/build/regression/Rom/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Rom/dut.sv | ${SURELOG_DIR}/build/regression/Rom/roundtrip/dut_000.sv | 9 | 20 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Rom/dut.sv | ${SURELOG_DIR}/build/regression/Rom/roundtrip/dut_000.sv | 9 | 20 | \ No newline at end of file diff --git a/tests/ScalarParam/ScalarParam.log b/tests/ScalarParam/ScalarParam.log index c5a37ecd37..fe6cb01293 100644 --- a/tests/ScalarParam/ScalarParam.log +++ b/tests/ScalarParam/ScalarParam.log @@ -409,6 +409,5 @@ design: (work@dut) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ScalarParam/dut.sv | ${SURELOG_DIR}/build/regression/ScalarParam/roundtrip/dut_000.sv | 8 | 18 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ScalarParam/dut.sv | ${SURELOG_DIR}/build/regression/ScalarParam/roundtrip/dut_000.sv | 8 | 18 | \ No newline at end of file diff --git a/tests/SelectHierPath/SelectHierPath.log b/tests/SelectHierPath/SelectHierPath.log index 1523400bd3..f99b573d1f 100644 --- a/tests/SelectHierPath/SelectHierPath.log +++ b/tests/SelectHierPath/SelectHierPath.log @@ -376,6 +376,5 @@ design: (work@IntegerRegisterWriteStage) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SelectHierPath/dut.sv | ${SURELOG_DIR}/build/regression/SelectHierPath/roundtrip/dut_000.sv | 1 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SelectHierPath/dut.sv | ${SURELOG_DIR}/build/regression/SelectHierPath/roundtrip/dut_000.sv | 1 | 16 | \ No newline at end of file diff --git a/tests/SelectSelect/SelectSelect.log b/tests/SelectSelect/SelectSelect.log index 58ddb456c6..18acab393a 100644 --- a/tests/SelectSelect/SelectSelect.log +++ b/tests/SelectSelect/SelectSelect.log @@ -1459,7 +1459,6 @@ design: (work@adc_ctrl_core) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/SelectSelect/builtin.sv | ${SURELOG_DIR}/build/regression/SelectSelect/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/SelectSelect/dut.sv | ${SURELOG_DIR}/build/regression/SelectSelect/roundtrip/dut_000.sv | 3 | 8 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SelectSelect/dut.sv | ${SURELOG_DIR}/build/regression/SelectSelect/roundtrip/dut_000.sv | 3 | 8 | \ No newline at end of file diff --git a/tests/Selects/Selects.log b/tests/Selects/Selects.log index 72e423c05d..81fc979557 100644 --- a/tests/Selects/Selects.log +++ b/tests/Selects/Selects.log @@ -548,6 +548,5 @@ design: (work@t) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Selects/dut.sv | ${SURELOG_DIR}/build/regression/Selects/roundtrip/dut_000.sv | 6 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Selects/dut.sv | ${SURELOG_DIR}/build/regression/Selects/roundtrip/dut_000.sv | 6 | 16 | \ No newline at end of file diff --git a/tests/SequenceInst/SequenceInst.log b/tests/SequenceInst/SequenceInst.log index 5a5e6c45ec..3cd08886a5 100644 --- a/tests/SequenceInst/SequenceInst.log +++ b/tests/SequenceInst/SequenceInst.log @@ -1693,29 +1693,5 @@ design: (work@m) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:16:24: Non synthesizable construct, p_triggers -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:16:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:18:24: Non synthesizable construct, p_triggers -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:18:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:28:23: Non synthesizable construct, p_multiclock -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:28:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:4:3: Non synthesizable construct, unnamed_clocking_block -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:22:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:24:28: Non synthesizable construct, p_triggers -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:24:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:16:24: Non synthesizable construct, p_triggers -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:16:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:18:24: Non synthesizable construct, p_triggers -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:18:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:28:23: Non synthesizable construct, p_multiclock -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:28:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:4:3: Non synthesizable construct, unnamed_clocking_block -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:22:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:24:28: Non synthesizable construct, p_triggers -[LINT]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv:24:28: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv | ${SURELOG_DIR}/build/regression/SequenceInst/roundtrip/dut_000.sv | 11 | 30 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SequenceInst/dut.sv | ${SURELOG_DIR}/build/regression/SequenceInst/roundtrip/dut_000.sv | 11 | 30 | \ No newline at end of file diff --git a/tests/ShiftX/ShiftX.log b/tests/ShiftX/ShiftX.log index 48ea46a211..c5e2a23cd0 100644 --- a/tests/ShiftX/ShiftX.log +++ b/tests/ShiftX/ShiftX.log @@ -298,6 +298,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ShiftX/dut.sv | ${SURELOG_DIR}/build/regression/ShiftX/roundtrip/dut_000.sv | 1 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ShiftX/dut.sv | ${SURELOG_DIR}/build/regression/ShiftX/roundtrip/dut_000.sv | 1 | 7 | \ No newline at end of file diff --git a/tests/SignedBin/SignedBin.log b/tests/SignedBin/SignedBin.log index 826bb9b78e..8206187b75 100644 --- a/tests/SignedBin/SignedBin.log +++ b/tests/SignedBin/SignedBin.log @@ -309,6 +309,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SignedBin/dut.sv | ${SURELOG_DIR}/build/regression/SignedBin/roundtrip/dut_000.sv | 3 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SignedBin/dut.sv | ${SURELOG_DIR}/build/regression/SignedBin/roundtrip/dut_000.sv | 3 | 10 | \ No newline at end of file diff --git a/tests/SignedBinConst/SignedBinConst.log b/tests/SignedBinConst/SignedBinConst.log index 5e191e93ea..a601f10e53 100644 --- a/tests/SignedBinConst/SignedBinConst.log +++ b/tests/SignedBinConst/SignedBinConst.log @@ -912,6 +912,5 @@ design: (work@top1) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SignedBinConst/dut.sv | ${SURELOG_DIR}/build/regression/SignedBinConst/roundtrip/dut_000.sv | 5 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SignedBinConst/dut.sv | ${SURELOG_DIR}/build/regression/SignedBinConst/roundtrip/dut_000.sv | 5 | 12 | \ No newline at end of file diff --git a/tests/SignedParam/SignedParam.log b/tests/SignedParam/SignedParam.log index b5f11c13ab..c290f3a00f 100644 --- a/tests/SignedParam/SignedParam.log +++ b/tests/SignedParam/SignedParam.log @@ -1164,7 +1164,6 @@ design: (work@prim_pad_wrapper) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/SignedParam/builtin.sv | ${SURELOG_DIR}/build/regression/SignedParam/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/SignedParam/dut.sv | ${SURELOG_DIR}/build/regression/SignedParam/roundtrip/dut_000.sv | 4 | 10 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SignedParam/dut.sv | ${SURELOG_DIR}/build/regression/SignedParam/roundtrip/dut_000.sv | 4 | 10 | \ No newline at end of file diff --git a/tests/SignedPort/SignedPort.log b/tests/SignedPort/SignedPort.log index 0e56d049f8..4274ed829f 100644 --- a/tests/SignedPort/SignedPort.log +++ b/tests/SignedPort/SignedPort.log @@ -1560,7 +1560,6 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/SignedPort/builtin.sv | ${SURELOG_DIR}/build/regression/SignedPort/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/SignedPort/dut.sv | ${SURELOG_DIR}/build/regression/SignedPort/roundtrip/dut_000.sv | 2 | 5 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SignedPort/dut.sv | ${SURELOG_DIR}/build/regression/SignedPort/roundtrip/dut_000.sv | 2 | 5 | \ No newline at end of file diff --git a/tests/SignedPort2/SignedPort2.log b/tests/SignedPort2/SignedPort2.log index 95b56d1ff5..7618a56c68 100644 --- a/tests/SignedPort2/SignedPort2.log +++ b/tests/SignedPort2/SignedPort2.log @@ -388,6 +388,5 @@ design: (work@wire_top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SignedPort2/dut.sv | ${SURELOG_DIR}/build/regression/SignedPort2/roundtrip/dut_000.sv | 2 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SignedPort2/dut.sv | ${SURELOG_DIR}/build/regression/SignedPort2/roundtrip/dut_000.sv | 2 | 6 | \ No newline at end of file diff --git a/tests/SignedWire/SignedWire.log b/tests/SignedWire/SignedWire.log index f39e3a98fd..e3dd9238af 100644 --- a/tests/SignedWire/SignedWire.log +++ b/tests/SignedWire/SignedWire.log @@ -184,6 +184,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SignedWire/dut.sv | ${SURELOG_DIR}/build/regression/SignedWire/roundtrip/dut_000.sv | 1 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SignedWire/dut.sv | ${SURELOG_DIR}/build/regression/SignedWire/roundtrip/dut_000.sv | 1 | 3 | \ No newline at end of file diff --git a/tests/SimpleClass/SimpleClass.log b/tests/SimpleClass/SimpleClass.log index 45de3d35e7..0f79d805cc 100644 --- a/tests/SimpleClass/SimpleClass.log +++ b/tests/SimpleClass/SimpleClass.log @@ -401,12 +401,6 @@ task 9 [WARNING] : 2 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SimpleClass/top.sv:6:3: Non synthesizable construct, A -[LINT]: ${SURELOG_DIR}/tests/SimpleClass/top.sv:2:1: Non synthesizable construct, -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/SimpleClass/builtin.sv | ${SURELOG_DIR}/build/regression/SimpleClass/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/SimpleClass/top.sv | ${SURELOG_DIR}/build/regression/SimpleClass/roundtrip/top_000.sv | 7 | 21 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SimpleClass/top.sv | ${SURELOG_DIR}/build/regression/SimpleClass/roundtrip/top_000.sv | 7 | 21 | \ No newline at end of file diff --git a/tests/SimpleClass2/SimpleClass2.log b/tests/SimpleClass2/SimpleClass2.log index 1e1b208466..09b61d9023 100644 --- a/tests/SimpleClass2/SimpleClass2.log +++ b/tests/SimpleClass2/SimpleClass2.log @@ -82,16 +82,6 @@ unsupported_typespec 1 [WARNING] : 3 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SimpleClass2/top.v:10:1: Non synthesizable construct, c1 -[LINT]: ${SURELOG_DIR}/tests/SimpleClass2/top.v:2:1: Non synthesizable construct, work@uvm_pool -[LINT]: ${SURELOG_DIR}/tests/SimpleClass2/top.v:27:1: Non synthesizable construct, c33 -[LINT]: ${SURELOG_DIR}/tests/SimpleClass2/top.v:35:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleClass2/top.v:35:1: Non synthesizable construct, c2 -[LINT]: ${SURELOG_DIR}/tests/SimpleClass2/top.v:13:37: Unsupported typespec, uvm_component -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/SimpleClass2/builtin.sv | ${SURELOG_DIR}/build/regression/SimpleClass2/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/SimpleClass2/top.v | ${SURELOG_DIR}/build/regression/SimpleClass2/roundtrip/top_000.v | 22 | 52 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SimpleClass2/top.v | ${SURELOG_DIR}/build/regression/SimpleClass2/roundtrip/top_000.v | 22 | 52 | \ No newline at end of file diff --git a/tests/SimpleConstraint/SimpleConstraint.log b/tests/SimpleConstraint/SimpleConstraint.log index 211904c5f9..4e0dbcb309 100644 --- a/tests/SimpleConstraint/SimpleConstraint.log +++ b/tests/SimpleConstraint/SimpleConstraint.log @@ -1076,31 +1076,3 @@ task 10 [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 4 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:37:9: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:38:9: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:39:21: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:40:11: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:42:13: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:44:11: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:5:3: Non synthesizable construct, frame_t -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:53:6: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:55:12: Non synthesizable construct, multicast -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:56:26: Non synthesizable construct, constraint_mode -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:57:18: Non synthesizable construct, randomize -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:58:16: Non synthesizable construct, print -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:60:10: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:63:9: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:65:6: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:68:26: Non synthesizable construct, constraint_mode -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:67:6: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:69:6: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:71:12: Non synthesizable construct, unicast -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:72:12: Non synthesizable construct, multicast -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:73:16: Non synthesizable construct, randomize -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:74:14: Non synthesizable construct, print -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:76:8: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:78:6: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/tests/SimpleConstraint/top.sv:4:1: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/SimpleTask/SimpleTask.log b/tests/SimpleTask/SimpleTask.log index 7b83bd2956..c6e1da3133 100644 --- a/tests/SimpleTask/SimpleTask.log +++ b/tests/SimpleTask/SimpleTask.log @@ -116,14 +116,3 @@ task_call 6 [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 20 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:26:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:27:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:28:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:29:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:30:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:31:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:32:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/SimpleTask/top_1.v:36:6: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/SplitFile/SplitFile.log b/tests/SplitFile/SplitFile.log index 428e69d50d..7c5a1e093e 100644 --- a/tests/SplitFile/SplitFile.log +++ b/tests/SplitFile/SplitFile.log @@ -252,27 +252,8 @@ task 9 [WARNING] : 30 [ NOTE] : 27 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:7:1: Non synthesizable construct, blah1 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:21:1: Non synthesizable construct, blah2 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:38:1: Non synthesizable construct, blah3 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:59:1: Non synthesizable construct, blah21 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:65:1: Non synthesizable construct, blah22 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:121:1: Non synthesizable construct, blah31 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:135:1: Non synthesizable construct, blah31 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:167:1: Non synthesizable construct, blah31_167 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top2.v:152:1: Non synthesizable construct, blah32 -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top1.v:8:11: Non synthesizable construct, select -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top1.v:8:19: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top1.v:7:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top1.v:8:11: Non synthesizable construct, select -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top1.v:8:19: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/SplitFile/top1.v:7:3: Non synthesizable construct, cb -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/SplitFile/builtin.sv | ${SURELOG_DIR}/build/regression/SplitFile/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/SplitFile/top.v | ${SURELOG_DIR}/build/regression/SplitFile/roundtrip/top_000.v | 26 | 99 | [roundtrip]: ${SURELOG_DIR}/tests/SplitFile/top1.v | ${SURELOG_DIR}/build/regression/SplitFile/roundtrip/top1_000.v | 20 | 39 | -[roundtrip]: ${SURELOG_DIR}/tests/SplitFile/top2.v | ${SURELOG_DIR}/build/regression/SplitFile/roundtrip/top2_000.v | 18 | 194 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SplitFile/top2.v | ${SURELOG_DIR}/build/regression/SplitFile/roundtrip/top2_000.v | 18 | 194 | \ No newline at end of file diff --git a/tests/StandardNetVar/StandardNetVar.log b/tests/StandardNetVar/StandardNetVar.log index 95267a3687..e530156046 100644 --- a/tests/StandardNetVar/StandardNetVar.log +++ b/tests/StandardNetVar/StandardNetVar.log @@ -634,6 +634,5 @@ design: (work@net_vars) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StandardNetVar/dut.sv | ${SURELOG_DIR}/build/regression/StandardNetVar/roundtrip/dut_000.sv | 4 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StandardNetVar/dut.sv | ${SURELOG_DIR}/build/regression/StandardNetVar/roundtrip/dut_000.sv | 4 | 8 | \ No newline at end of file diff --git a/tests/StaticTask/StaticTask.log b/tests/StaticTask/StaticTask.log index 4c04cae40f..d7527b6e84 100644 --- a/tests/StaticTask/StaticTask.log +++ b/tests/StaticTask/StaticTask.log @@ -400,6 +400,5 @@ design: (work@tb) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StaticTask/dut.sv | ${SURELOG_DIR}/build/regression/StaticTask/roundtrip/dut_000.sv | 1 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StaticTask/dut.sv | ${SURELOG_DIR}/build/regression/StaticTask/roundtrip/dut_000.sv | 1 | 10 | \ No newline at end of file diff --git a/tests/StreamingOp/StreamingOp.log b/tests/StreamingOp/StreamingOp.log index 22a92813ce..e2f047f4cc 100644 --- a/tests/StreamingOp/StreamingOp.log +++ b/tests/StreamingOp/StreamingOp.log @@ -426,6 +426,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StreamingOp/dut.sv | ${SURELOG_DIR}/build/regression/StreamingOp/roundtrip/dut_000.sv | 3 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StreamingOp/dut.sv | ${SURELOG_DIR}/build/regression/StreamingOp/roundtrip/dut_000.sv | 3 | 5 | \ No newline at end of file diff --git a/tests/StringConcat/StringConcat.log b/tests/StringConcat/StringConcat.log index b98a99dccd..4c3ed4fe33 100644 --- a/tests/StringConcat/StringConcat.log +++ b/tests/StringConcat/StringConcat.log @@ -158,6 +158,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StringConcat/dut.sv | ${SURELOG_DIR}/build/regression/StringConcat/roundtrip/dut_000.sv | 0 | 3 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StringConcat/dut.sv | ${SURELOG_DIR}/build/regression/StringConcat/roundtrip/dut_000.sv | 0 | 3 | \ No newline at end of file diff --git a/tests/StringMethod/StringMethod.log b/tests/StringMethod/StringMethod.log index 9ed0fa91a5..384afa7d29 100644 --- a/tests/StringMethod/StringMethod.log +++ b/tests/StringMethod/StringMethod.log @@ -1557,16 +1557,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/StringMethod/dut.sv:5:9: Non synthesizable construct, putc -[LINT]: ${SURELOG_DIR}/tests/StringMethod/dut.sv:3:17: Non synthesizable construct, substr -[LINT]: ${SURELOG_DIR}/tests/StringMethod/dut.sv:7:15: Non synthesizable construct, getc -[LINT]: ${SURELOG_DIR}/tests/StringMethod/dut.sv:8:15: Non synthesizable construct, compare -[LINT]: ${SURELOG_DIR}/tests/StringMethod/dut.sv:9:15: Non synthesizable construct, icompare -[LINT]: ${SURELOG_DIR}/tests/StringMethod/dut.sv:5:9: Non synthesizable construct, putc -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/StringMethod/builtin.sv | ${SURELOG_DIR}/build/regression/StringMethod/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/StringMethod/dut.sv | ${SURELOG_DIR}/build/regression/StringMethod/roundtrip/dut_000.sv | 5 | 10 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/StringMethod/dut.sv | ${SURELOG_DIR}/build/regression/StringMethod/roundtrip/dut_000.sv | 5 | 10 | \ No newline at end of file diff --git a/tests/StringParameter/StringParameter.log b/tests/StringParameter/StringParameter.log index 5aa5cebdbf..7f8bd8b86a 100644 --- a/tests/StringParameter/StringParameter.log +++ b/tests/StringParameter/StringParameter.log @@ -255,6 +255,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StringParameter/dut.sv | ${SURELOG_DIR}/build/regression/StringParameter/roundtrip/dut_000.sv | 4 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StringParameter/dut.sv | ${SURELOG_DIR}/build/regression/StringParameter/roundtrip/dut_000.sv | 4 | 11 | \ No newline at end of file diff --git a/tests/StringPort/StringPort.log b/tests/StringPort/StringPort.log index 400dbbee93..ca34d29ef2 100644 --- a/tests/StringPort/StringPort.log +++ b/tests/StringPort/StringPort.log @@ -1234,7 +1234,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/StringPort/builtin.sv | ${SURELOG_DIR}/build/regression/StringPort/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/StringPort/dut.sv | ${SURELOG_DIR}/build/regression/StringPort/roundtrip/dut_000.sv | 1 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/StringPort/dut.sv | ${SURELOG_DIR}/build/regression/StringPort/roundtrip/dut_000.sv | 1 | 6 | \ No newline at end of file diff --git a/tests/StructAccess/StructAccess.log b/tests/StructAccess/StructAccess.log index 51ad8e69b4..dcf2f7910f 100644 --- a/tests/StructAccess/StructAccess.log +++ b/tests/StructAccess/StructAccess.log @@ -1884,7 +1884,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/StructAccess/builtin.sv | ${SURELOG_DIR}/build/regression/StructAccess/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/StructAccess/dut.sv | ${SURELOG_DIR}/build/regression/StructAccess/roundtrip/dut_000.sv | 8 | 30 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/StructAccess/dut.sv | ${SURELOG_DIR}/build/regression/StructAccess/roundtrip/dut_000.sv | 8 | 30 | \ No newline at end of file diff --git a/tests/StructNetUnionTypespec/StructNetUnionTypespec.log b/tests/StructNetUnionTypespec/StructNetUnionTypespec.log deleted file mode 100644 index 4f30b217df..0000000000 --- a/tests/StructNetUnionTypespec/StructNetUnionTypespec.log +++ /dev/null @@ -1,1972 +0,0 @@ -[INF:CM0023] Creating log file ${SURELOG_DIR}/build/regression/StructNetUnionTypespec/slpp_all/surelog.log. - -AST_DEBUG_BEGIN -LIB: work -FILE: ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv -n<> u<0> t<_INVALID_> f<0> l<0:0> -n<> u<1> t p<187> s<186> l<1:1> el<1:0> -n u<2> t p<13> s<3> l<1:1> el<1:7> -n u<3> t p<13> s<12> l<1:8> el<1:22> -n<> u<4> t p<9> s<8> l<1:23> el<1:29> -n<> u<5> t p<6> l<1:30> el<1:33> -n<> u<6> t p<7> c<5> l<1:30> el<1:33> -n<> u<7> t p<8> c<6> l<1:30> el<1:33> -n<> u<8> t p<9> c<7> l<1:30> el<1:33> -n<> u<9> t p<11> c<4> s<10> l<1:23> el<1:33> -n u<10> t p<11> l<1:34> el<1:35> -n<> u<11> t p<12> c<9> l<1:23> el<1:35> -n<> u<12> t p<13> c<11> l<1:22> el<1:36> -n<> u<13> t p<86> c<2> s<41> l<1:1> el<1:37> -n<> u<14> t p<15> l<2:4> el<2:9> -n<> u<15> t p<31> c<14> s<16> l<2:4> el<2:9> -n<> u<16> t p<31> s<23> l<2:10> el<2:16> -n<> u<17> t p<18> l<3:7> el<3:10> -n<> u<18> t p<19> c<17> l<3:7> el<3:10> -n<> u<19> t p<23> c<18> s<22> l<3:7> el<3:10> -n u<20> t p<21> l<3:11> el<3:13> -n<> u<21> t p<22> c<20> l<3:11> el<3:13> -n<> u<22> t p<23> c<21> l<3:11> el<3:13> -n<> u<23> t p<31> c<19> s<30> l<3:7> el<3:14> -n<> u<24> t p<25> l<4:7> el<4:10> -n<> u<25> t p<26> c<24> l<4:7> el<4:10> -n<> u<26> t p<30> c<25> s<29> l<4:7> el<4:10> -n u<27> t p<28> l<4:11> el<4:13> -n<> u<28> t p<29> c<27> l<4:11> el<4:13> -n<> u<29> t p<30> c<28> l<4:11> el<4:13> -n<> u<30> t p<31> c<26> l<4:7> el<4:14> -n<> u<31> t p<35> c<15> s<34> l<2:4> el<5:5> -n u<32> t p<33> l<5:6> el<5:8> -n<> u<33> t p<34> c<32> l<5:6> el<5:8> -n<> u<34> t p<35> c<33> l<5:6> el<5:8> -n<> u<35> t p<36> c<31> l<2:4> el<5:9> -n<> u<36> t p<37> c<35> l<2:4> el<5:9> -n<> u<37> t p<38> c<36> l<2:4> el<5:9> -n<> u<38> t p<39> c<37> l<2:4> el<5:9> -n<> u<39> t p<40> c<38> l<2:4> el<5:9> -n<> u<40> t p<41> c<39> l<2:4> el<5:9> -n<> u<41> t p<86> c<40> s<84> l<2:4> el<5:9> -n u<42> t p<43> l<8:7> el<8:9> -n<> u<43> t p<47> c<42> s<46> l<8:7> el<8:9> -n u<44> t p<46> s<45> l<8:10> el<8:12> -n<> u<45> t p<46> l<8:13> el<8:13> -n<> u<46> t p<62> c<60> l<9:9> el<9:9> -n<> u<62> t p<71> c<59> s<63> l<9:7> el<9:8> -n<> u<63> t p<71> s<70> l<9:9> el<9:10> -n u<64> t p<68> s<65> l<9:11> el<9:13> -n u<65> t p<68> s<67> l<9:14> el<9:16> -n<> u<66> t p<67> l<9:16> el<9:16> -n<> u<67> t p<145> c<143> l<19:10> el<19:10> -n<> u<145> t p<151> c<142> s<146> l<19:7> el<19:9> -n<> u<146> t p<151> s<150> l<19:10> el<19:11> -n<4'hA> u<147> t p<148> l<19:12> el<19:16> -n<> u<148> t p<149> c<147> l<19:12> el<19:16> -n<> u<149> t p<150> c<148> l<19:12> el<19:16> -n<> u<150> t p<151> c<149> l<19:12> el<19:16> -n<> u<151> t p<152> c<145> l<19:7> el<19:16> -n<> u<152> t p<153> c<151> l<19:7> el<19:16> -n<> u<153> t p<154> c<152> l<19:7> el<19:17> -n<> u<154> t p<155> c<153> l<19:7> el<19:17> -n<> u<155> t p<175> c<154> s<173> l<19:7> el<19:17> -n u<156> t p<157> l<20:7> el<20:8> -n<> u<157> t p<160> c<156> s<159> l<20:7> el<20:8> -n<> u<158> t p<159> l<20:9> el<20:9> -n<> u<159> t p<166> c<164> l<20:19> el<20:19> -n<> u<166> t p<167> c<162> l<20:11> el<20:19> -n<> u<167> t p<168> c<166> l<20:11> el<20:19> -n<> u<168> t p<169> c<167> l<20:11> el<20:19> -n<> u<169> t p<170> c<160> l<20:7> el<20:19> -n<> u<170> t p<171> c<169> l<20:7> el<20:19> -n<> u<171> t p<172> c<170> l<20:7> el<20:20> -n<> u<172> t p<173> c<171> l<20:7> el<20:20> -n<> u<173> t p<175> c<172> s<174> l<20:7> el<20:20> -n<> u<174> t p<175> l<21:4> el<21:7> -n<> u<175> t p<176> c<155> l<18:12> el<21:7> -n<> u<176> t p<177> c<175> l<18:12> el<21:7> -n<> u<177> t p<178> c<176> l<18:12> el<21:7> -n<> u<178> t p<179> c<177> l<18:12> el<21:7> -n<> u<179> t p<180> c<178> l<18:4> el<21:7> -n<> u<180> t p<181> c<179> l<18:4> el<21:7> -n<> u<181> t p<182> c<180> l<18:4> el<21:7> -n<> u<182> t p<184> c<181> s<183> l<18:4> el<21:7> -n<> u<183> t p<184> l<22:1> el<22:10> -n<> u<184> t p<185> c<109> l<13:1> el<22:10> -n<> u<185> t p<186> c<184> l<13:1> el<22:10> -n<> u<186> t p<187> c<87> l<1:1> el<22:10> -n<> u<187> t c<1> l<1:1> el<23:1> -AST_DEBUG_END -[WRN:PA0205] ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv:1:1: No timescale set for "AnonymousUnion". - -[WRN:PA0205] ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv:13:1: No timescale set for "AssignToUnionAndReadField". - -[INF:CP0300] Compilation... - -[INF:CP0303] ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv:1:1: Compile module "work@AnonymousUnion". - -[INF:CP0303] ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv:13:1: Compile module "work@AssignToUnionAndReadField". - -AST_DEBUG_BEGIN -LIB: work -FILE: ${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv -n<> u<0> t<_INVALID_> f<0> l<0:0> -n<> u<1> t p<249> s<248> f<0> l<1:3> el<1:3> -n u<2> t p<102> s<16> f<0> l<1:9> el<1:16> -n<> u<3> t p<4> f<0> l<3:19> el<3:22> -n<> u<4> t p<5> c<3> f<0> l<3:19> el<3:22> -n<> u<5> t p<11> c<4> s<6> f<0> l<3:19> el<3:22> -n u<6> t p<11> s<10> f<0> l<3:23> el<3:28> -n<0> u<7> t p<8> f<0> l<3:31> el<3:32> -n<> u<8> t p<9> c<7> f<0> l<3:31> el<3:32> -n<> u<9> t p<10> c<8> f<0> l<3:31> el<3:32> -n<> u<10> t p<11> c<9> f<0> l<3:31> el<3:32> -n<> u<11> t p<12> c<5> f<0> l<3:19> el<3:32> -n<> u<12> t p<14> c<11> s<13> f<0> l<3:19> el<3:32> -n<> u<13> t p<14> f<0> l<4:5> el<4:16> -n<> u<14> t p<15> c<12> f<0> l<3:5> el<4:16> -n<> u<15> t p<16> c<14> f<0> l<3:5> el<4:16> -n<> u<16> t p<102> c<15> s<26> f<0> l<3:5> el<4:16> -n<> u<17> t p<18> f<0> l<6:14> el<6:17> -n<> u<18> t p<19> c<17> f<0> l<6:14> el<6:17> -n<> u<19> t p<20> c<18> f<0> l<6:14> el<6:17> -n<> u<20> t p<23> c<19> s<21> f<0> l<6:14> el<6:17> -n u<21> t p<23> s<22> f<0> l<6:18> el<6:21> -n<> u<22> t p<23> f<0> l<7:5> el<7:16> -n<> u<23> t p<24> c<20> f<0> l<6:14> el<7:16> -n<> u<24> t p<25> c<23> f<0> l<6:5> el<7:16> -n<> u<25> t p<26> c<24> f<0> l<6:5> el<7:16> -n<> u<26> t p<102> c<25> s<36> f<0> l<6:5> el<7:16> -n u<27> t p<33> s<31> f<0> l<9:10> el<9:13> -n<> u<28> t p<30> s<29> f<0> l<9:15> el<9:15> -n u<29> t p<30> f<0> l<9:15> el<9:22> -n<> u<30> t p<31> c<28> f<0> l<9:15> el<9:22> -n<> u<31> t p<33> c<30> s<32> f<0> l<9:15> el<9:22> -n<> u<32> t p<33> f<0> l<10:5> el<10:12> -n<> u<33> t p<34> c<27> f<0> l<9:10> el<10:12> -n<> u<34> t p<35> c<33> f<0> l<9:5> el<10:12> -n<> u<35> t p<36> c<34> f<0> l<9:5> el<10:12> -n<> u<36> t p<102> c<35> s<47> f<0> l<9:5> el<10:12> -n<> u<37> t p<44> s<38> f<0> l<12:14> el<12:14> -n u<38> t p<44> s<42> f<0> l<12:14> el<12:21> -n<> u<39> t p<41> s<40> f<0> l<12:23> el<12:23> -n u<40> t p<41> f<0> l<12:23> el<12:30> -n<> u<41> t p<42> c<39> f<0> l<12:23> el<12:30> -n<> u<42> t p<44> c<41> s<43> f<0> l<12:23> el<12:30> -n<> u<43> t p<44> f<0> l<13:5> el<13:16> -n<> u<44> t p<45> c<37> f<0> l<12:14> el<13:16> -n<> u<45> t p<46> c<44> f<0> l<12:5> el<13:16> -n<> u<46> t p<47> c<45> f<0> l<12:5> el<13:16> -n<> u<47> t p<102> c<46> s<58> f<0> l<12:5> el<13:16> -n u<48> t p<55> s<53> f<0> l<15:10> el<15:13> -n<> u<49> t p<52> s<50> f<0> l<15:15> el<15:18> -n<> u<50> t p<52> s<51> f<0> l<15:19> el<15:19> -n u<51> t p<52> f<0> l<15:19> el<15:26> -n<> u<52> t p<53> c<49> f<0> l<15:15> el<15:26> -n<> u<53> t p<55> c<52> s<54> f<0> l<15:15> el<15:26> -n<> u<54> t p<55> f<0> l<16:5> el<16:12> -n<> u<55> t p<56> c<48> f<0> l<15:10> el<16:12> -n<> u<56> t p<57> c<55> f<0> l<15:5> el<16:12> -n<> u<57> t p<58> c<56> f<0> l<15:5> el<16:12> -n<> u<58> t p<102> c<57> s<73> f<0> l<15:5> el<16:12> -n<> u<59> t p<60> f<0> l<18:14> el<18:17> -n<> u<60> t p<61> c<59> f<0> l<18:14> el<18:17> -n<> u<61> t p<62> c<60> f<0> l<18:14> el<18:17> -n<> u<62> t p<70> c<61> s<63> f<0> l<18:14> el<18:17> -n u<63> t p<70> s<68> f<0> l<18:18> el<18:25> -n<> u<64> t p<67> s<65> f<0> l<18:27> el<18:30> -n<> u<65> t p<67> s<66> f<0> l<18:31> el<18:31> -n u<66> t p<67> f<0> l<18:31> el<18:38> -n<> u<67> t p<68> c<64> f<0> l<18:27> el<18:38> -n<> u<68> t p<70> c<67> s<69> f<0> l<18:27> el<18:38> -n<> u<69> t p<70> f<0> l<19:5> el<19:16> -n<> u<70> t p<71> c<62> f<0> l<18:14> el<19:16> -n<> u<71> t p<72> c<70> f<0> l<18:5> el<19:16> -n<> u<72> t p<73> c<71> f<0> l<18:5> el<19:16> -n<> u<73> t p<102> c<72> s<84> f<0> l<18:5> el<19:16> -n u<74> t p<81> s<79> f<0> l<21:10> el<21:14> -n<> u<75> t p<78> s<76> f<0> l<21:16> el<21:19> -n<> u<76> t p<78> s<77> f<0> l<21:20> el<21:20> -n u<77> t p<78> f<0> l<21:20> el<21:27> -n<> u<78> t p<79> c<75> f<0> l<21:16> el<21:27> -n<> u<79> t p<81> c<78> s<80> f<0> l<21:16> el<21:27> -n<> u<80> t p<81> f<0> l<22:5> el<22:12> -n<> u<81> t p<82> c<74> f<0> l<21:10> el<22:12> -n<> u<82> t p<83> c<81> f<0> l<21:5> el<22:12> -n<> u<83> t p<84> c<82> f<0> l<21:5> el<22:12> -n<> u<84> t p<102> c<83> s<99> f<0> l<21:5> el<22:12> -n<> u<85> t p<86> f<0> l<24:14> el<24:17> -n<> u<86> t p<87> c<85> f<0> l<24:14> el<24:17> -n<> u<87> t p<88> c<86> f<0> l<24:14> el<24:17> -n<> u<88> t p<96> c<87> s<89> f<0> l<24:14> el<24:17> -n u<89> t p<96> s<94> f<0> l<24:18> el<24:26> -n<> u<90> t p<93> s<91> f<0> l<24:27> el<24:30> -n<> u<91> t p<93> s<92> f<0> l<24:31> el<24:31> -n u<92> t p<93> f<0> l<24:31> el<24:38> -n<> u<93> t p<94> c<90> f<0> l<24:27> el<24:38> -n<> u<94> t p<96> c<93> s<95> f<0> l<24:27> el<24:38> -n<> u<95> t p<96> f<0> l<25:5> el<25:16> -n<> u<96> t p<97> c<88> f<0> l<24:14> el<25:16> -n<> u<97> t p<98> c<96> f<0> l<24:5> el<25:16> -n<> u<98> t p<99> c<97> f<0> l<24:5> el<25:16> -n<> u<99> t p<102> c<98> s<101> f<0> l<24:5> el<25:16> -n<> u<100> t p<102> s<2> f<0> l<1:3> el<1:8> -n<> u<101> t p<102> f<0> l<27:3> el<27:11> -n<> u<102> t p<103> c<100> f<0> l<1:3> el<27:11> -n<> u<103> t p<104> c<102> f<0> l<1:3> el<27:11> -n<> u<104> t p<105> c<103> f<0> l<1:3> el<27:11> -n<> u<105> t p<248> c<104> s<174> f<0> l<1:3> el<27:11> -n u<106> t p<171> s<122> f<0> l<30:9> el<30:16> -n u<107> t p<108> f<0> l<32:20> el<32:28> -n<> u<108> t p<117> c<107> s<110> f<0> l<32:20> el<32:28> -n u<109> t p<110> f<0> l<32:30> el<32:37> -n<> u<110> t p<117> c<109> s<112> f<0> l<32:30> el<32:37> -n u<111> t p<112> f<0> l<32:39> el<32:46> -n<> u<112> t p<117> c<111> s<114> f<0> l<32:39> el<32:46> -n u<113> t p<114> f<0> l<32:48> el<32:57> -n<> u<114> t p<117> c<113> s<116> f<0> l<32:48> el<32:57> -n u<115> t p<116> f<0> l<32:59> el<32:65> -n<> u<116> t p<117> c<115> f<0> l<32:59> el<32:65> -n<> u<117> t p<119> c<108> s<118> f<0> l<32:13> el<32:67> -n u<118> t p<119> f<0> l<32:68> el<32:73> -n<> u<119> t p<120> c<117> f<0> l<32:5> el<32:74> -n<> u<120> t p<121> c<119> f<0> l<32:5> el<32:74> -n<> u<121> t p<122> c<120> f<0> l<32:5> el<32:74> -n<> u<122> t p<171> c<121> s<134> f<0> l<32:5> el<32:74> -n<> u<123> t p<124> f<0> l<34:5> el<34:11> -n<> u<124> t p<133> c<123> s<132> f<0> l<34:5> el<34:11> -n u<125> t p<126> f<0> l<34:21> el<34:28> -n<> u<126> t p<127> c<125> f<0> l<34:21> el<34:28> -n<> u<127> t p<128> c<126> f<0> l<34:21> el<34:28> -n<> u<128> t p<131> c<127> s<129> f<0> l<34:21> el<34:28> -n u<129> t p<131> s<130> f<0> l<34:29> el<34:33> -n<> u<130> t p<131> f<0> l<35:5> el<35:16> -n<> u<131> t p<132> c<128> f<0> l<34:21> el<35:16> -n<> u<132> t p<133> c<131> f<0> l<34:12> el<35:16> -n<> u<133> t p<134> c<124> f<0> l<34:5> el<35:16> -n<> u<134> t p<171> c<133> s<144> f<0> l<34:5> el<35:16> -n u<135> t p<136> f<0> l<37:14> el<37:19> -n<> u<136> t p<137> c<135> f<0> l<37:14> el<37:19> -n<> u<137> t p<138> c<136> f<0> l<37:14> el<37:19> -n<> u<138> t p<141> c<137> s<139> f<0> l<37:14> el<37:19> -n u<139> t p<141> s<140> f<0> l<37:20> el<37:26> -n<> u<140> t p<141> f<0> l<38:5> el<38:16> -n<> u<141> t p<142> c<138> f<0> l<37:14> el<38:16> -n<> u<142> t p<143> c<141> f<0> l<37:5> el<38:16> -n<> u<143> t p<144> c<142> f<0> l<37:5> el<38:16> -n<> u<144> t p<171> c<143> s<150> f<0> l<37:5> el<38:16> -n u<145> t p<147> s<146> f<0> l<40:10> el<40:14> -n<> u<146> t p<147> f<0> l<41:5> el<41:12> -n<> u<147> t p<148> c<145> f<0> l<40:10> el<41:12> -n<> u<148> t p<149> c<147> f<0> l<40:5> el<41:12> -n<> u<149> t p<150> c<148> f<0> l<40:5> el<41:12> -n<> u<150> t p<171> c<149> s<156> f<0> l<40:5> el<41:12> -n u<151> t p<153> s<152> f<0> l<43:10> el<43:15> -n<> u<152> t p<153> f<0> l<44:5> el<44:12> -n<> u<153> t p<154> c<151> f<0> l<43:10> el<44:12> -n<> u<154> t p<155> c<153> f<0> l<43:5> el<44:12> -n<> u<155> t p<156> c<154> f<0> l<43:5> el<44:12> -n<> u<156> t p<171> c<155> s<162> f<0> l<43:5> el<44:12> -n u<157> t p<159> s<158> f<0> l<46:10> el<46:17> -n<> u<158> t p<159> f<0> l<47:5> el<47:12> -n<> u<159> t p<160> c<157> f<0> l<46:10> el<47:12> -n<> u<160> t p<161> c<159> f<0> l<46:5> el<47:12> -n<> u<161> t p<162> c<160> f<0> l<46:5> el<47:12> -n<> u<162> t p<171> c<161> s<168> f<0> l<46:5> el<47:12> -n u<163> t p<165> s<164> f<0> l<49:10> el<49:16> -n<> u<164> t p<165> f<0> l<50:5> el<50:12> -n<> u<165> t p<166> c<163> f<0> l<49:10> el<50:12> -n<> u<166> t p<167> c<165> f<0> l<49:5> el<50:12> -n<> u<167> t p<168> c<166> f<0> l<49:5> el<50:12> -n<> u<168> t p<171> c<167> s<170> f<0> l<49:5> el<50:12> -n<> u<169> t p<171> s<106> f<0> l<30:3> el<30:8> -n<> u<170> t p<171> f<0> l<52:3> el<52:11> -n<> u<171> t p<172> c<169> f<0> l<30:3> el<52:11> -n<> u<172> t p<173> c<171> f<0> l<30:3> el<52:11> -n<> u<173> t p<174> c<172> f<0> l<30:3> el<52:11> -n<> u<174> t p<248> c<173> s<247> f<0> l<30:3> el<52:11> -n u<175> t p<244> s<189> f<0> l<55:9> el<55:18> -n<> u<176> t p<177> f<0> l<57:18> el<57:21> -n<> u<177> t p<178> c<176> f<0> l<57:18> el<57:21> -n<> u<178> t p<184> c<177> s<179> f<0> l<57:18> el<57:21> -n u<179> t p<184> s<183> f<0> l<57:22> el<57:30> -n<0> u<180> t p<181> f<0> l<57:33> el<57:34> -n<> u<181> t p<182> c<180> f<0> l<57:33> el<57:34> -n<> u<182> t p<183> c<181> f<0> l<57:33> el<57:34> -n<> u<183> t p<184> c<182> f<0> l<57:33> el<57:34> -n<> u<184> t p<185> c<178> f<0> l<57:18> el<57:34> -n<> u<185> t p<187> c<184> s<186> f<0> l<57:18> el<57:34> -n<> u<186> t p<187> f<0> l<58:5> el<58:16> -n<> u<187> t p<188> c<185> f<0> l<57:5> el<58:16> -n<> u<188> t p<189> c<187> f<0> l<57:5> el<58:16> -n<> u<189> t p<244> c<188> s<205> f<0> l<57:5> el<58:16> -n u<190> t p<202> s<200> f<0> l<60:10> el<60:13> -n<> u<191> t p<192> f<0> l<60:14> el<60:17> -n<> u<192> t p<193> c<191> f<0> l<60:14> el<60:17> -n<> u<193> t p<199> c<192> s<194> f<0> l<60:14> el<60:17> -n u<194> t p<199> s<198> f<0> l<60:18> el<60:26> -n<1> u<195> t p<196> f<0> l<60:29> el<60:30> -n<> u<196> t p<197> c<195> f<0> l<60:29> el<60:30> -n<> u<197> t p<198> c<196> f<0> l<60:29> el<60:30> -n<> u<198> t p<199> c<197> f<0> l<60:29> el<60:30> -n<> u<199> t p<200> c<193> f<0> l<60:14> el<60:30> -n<> u<200> t p<202> c<199> s<201> f<0> l<60:14> el<60:30> -n<> u<201> t p<202> f<0> l<61:5> el<61:12> -n<> u<202> t p<203> c<190> f<0> l<60:10> el<61:12> -n<> u<203> t p<204> c<202> f<0> l<60:5> el<61:12> -n<> u<204> t p<205> c<203> f<0> l<60:5> el<61:12> -n<> u<205> t p<244> c<204> s<221> f<0> l<60:5> el<61:12> -n u<206> t p<218> s<216> f<0> l<63:10> el<63:13> -n<> u<207> t p<208> f<0> l<63:14> el<63:17> -n<> u<208> t p<209> c<207> f<0> l<63:14> el<63:17> -n<> u<209> t p<215> c<208> s<210> f<0> l<63:14> el<63:17> -n u<210> t p<215> s<214> f<0> l<63:18> el<63:26> -n<1> u<211> t p<212> f<0> l<63:29> el<63:30> -n<> u<212> t p<213> c<211> f<0> l<63:29> el<63:30> -n<> u<213> t p<214> c<212> f<0> l<63:29> el<63:30> -n<> u<214> t p<215> c<213> f<0> l<63:29> el<63:30> -n<> u<215> t p<216> c<209> f<0> l<63:14> el<63:30> -n<> u<216> t p<218> c<215> s<217> f<0> l<63:14> el<63:30> -n<> u<217> t p<218> f<0> l<64:5> el<64:12> -n<> u<218> t p<219> c<206> f<0> l<63:10> el<64:12> -n<> u<219> t p<220> c<218> f<0> l<63:5> el<64:12> -n<> u<220> t p<221> c<219> f<0> l<63:5> el<64:12> -n<> u<221> t p<244> c<220> s<241> f<0> l<63:5> el<64:12> -n<> u<222> t p<223> f<0> l<66:14> el<66:17> -n<> u<223> t p<224> c<222> f<0> l<66:14> el<66:17> -n<> u<224> t p<225> c<223> f<0> l<66:14> el<66:17> -n<> u<225> t p<238> c<224> s<226> f<0> l<66:14> el<66:17> -n u<226> t p<238> s<236> f<0> l<66:18> el<66:25> -n<> u<227> t p<228> f<0> l<66:26> el<66:29> -n<> u<228> t p<229> c<227> f<0> l<66:26> el<66:29> -n<> u<229> t p<235> c<228> s<230> f<0> l<66:26> el<66:29> -n u<230> t p<235> s<234> f<0> l<66:30> el<66:38> -n<1> u<231> t p<232> f<0> l<66:41> el<66:42> -n<> u<232> t p<233> c<231> f<0> l<66:41> el<66:42> -n<> u<233> t p<234> c<232> f<0> l<66:41> el<66:42> -n<> u<234> t p<235> c<233> f<0> l<66:41> el<66:42> -n<> u<235> t p<236> c<229> f<0> l<66:26> el<66:42> -n<> u<236> t p<238> c<235> s<237> f<0> l<66:26> el<66:42> -n<> u<237> t p<238> f<0> l<67:5> el<67:16> -n<> u<238> t p<239> c<225> f<0> l<66:14> el<67:16> -n<> u<239> t p<240> c<238> f<0> l<66:5> el<67:16> -n<> u<240> t p<241> c<239> f<0> l<66:5> el<67:16> -n<> u<241> t p<244> c<240> s<243> f<0> l<66:5> el<67:16> -n<> u<242> t p<244> s<175> f<0> l<55:3> el<55:8> -n<> u<243> t p<244> f<0> l<69:3> el<69:11> -n<> u<244> t p<245> c<242> f<0> l<55:3> el<69:11> -n<> u<245> t p<246> c<244> f<0> l<55:3> el<69:11> -n<> u<246> t p<247> c<245> f<0> l<55:3> el<69:11> -n<> u<247> t p<248> c<246> f<0> l<55:3> el<69:11> -n<> u<248> t p<249> c<105> f<0> l<1:3> el<69:11> -n<> u<249> t c<1> f<0> l<1:3> el<71:9> -AST_DEBUG_END -[INF:CP0302] Compile class "work@mailbox". - -[INF:CP0302] Compile class "work@process". - -[INF:CP0302] Compile class "work@semaphore". - -[INF:EL0526] Design Elaboration... - -[NTE:EL0503] ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv:1:1: Top level module "work@AnonymousUnion". - -[NTE:EL0503] ${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv:13:1: Top level module "work@AssignToUnionAndReadField". - -[NTE:EL0504] Multiple top level modules in design. - -[NTE:EL0508] Nb Top level modules: 2. - -[NTE:EL0509] Max instance depth: 1. - -[NTE:EL0510] Nb instances: 2. - -[NTE:EL0511] Nb leaf instances: 0. - -[INF:UH0706] Creating UHDM Model... - -=== UHDM Object Stats Begin (Non-Elaborated Model) === -assignment 4 -begin 2 -bit_typespec 2 -class_defn 8 -class_typespec 4 -class_var 3 -constant 19 -design 1 -enum_const 5 -enum_typespec 1 -enum_var 1 -function 9 -hier_path 3 -initial 2 -int_typespec 16 -int_var 5 -io_decl 11 -logic_net 5 -logic_typespec 3 -logic_var 1 -module_inst 5 -package 2 -port 4 -range 6 -ref_obj 13 -ref_typespec 29 -struct_net 2 -task 9 -typespec_member 6 -union_typespec 4 -=== UHDM Object Stats End === -[INF:UH0707] Elaborating UHDM... - -=== UHDM Object Stats Begin (Elaborated Model) === -assignment 8 -begin 4 -bit_typespec 2 -class_defn 8 -class_typespec 4 -class_var 3 -constant 19 -design 1 -enum_const 10 -enum_typespec 2 -enum_var 1 -function 18 -hier_path 6 -initial 4 -int_typespec 16 -int_var 5 -io_decl 22 -logic_net 5 -logic_typespec 3 -logic_var 1 -module_inst 5 -package 2 -port 6 -range 6 -ref_obj 24 -ref_typespec 36 -struct_net 2 -task 18 -typespec_member 6 -union_typespec 4 -=== UHDM Object Stats End === -[INF:UH0708] Writing UHDM DB: ${SURELOG_DIR}/build/regression/StructNetUnionTypespec/slpp_all/surelog.uhdm ... - -[INF:UH0709] Writing UHDM Html Coverage: ${SURELOG_DIR}/build/regression/StructNetUnionTypespec/slpp_all/checker/surelog.chk.html ... - -[INF:UH0710] Loading UHDM DB: ${SURELOG_DIR}/build/regression/StructNetUnionTypespec/slpp_all/surelog.uhdm ... - -[INF:UH0711] Decompiling UHDM... - -====== UHDM ======= -design: (work@AnonymousUnion) -|vpiElaborated:1 -|vpiName:work@AnonymousUnion -|uhdmallPackages: -\_package: builtin (builtin::) - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiName:builtin - |vpiFullName:builtin:: - |vpiDefName:builtin -|uhdmtopPackages: -\_package: builtin (builtin::) - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiName:builtin - |vpiFullName:builtin:: - |vpiDefName:builtin - |vpiTop:1 - |vpiClassDefn: - \_class_defn: (builtin::any_sverilog_class) - |vpiParent: - \_package: builtin (builtin::) - |vpiName:any_sverilog_class - |vpiFullName:builtin::any_sverilog_class - |vpiClassDefn: - \_class_defn: (builtin::array) - |vpiParent: - \_package: builtin (builtin::) - |vpiName:array - |vpiFullName:builtin::array - |vpiClassDefn: - \_class_defn: (builtin::queue) - |vpiParent: - \_package: builtin (builtin::) - |vpiName:queue - |vpiFullName:builtin::queue - |vpiClassDefn: - \_class_defn: (builtin::string) - |vpiParent: - \_package: builtin (builtin::) - |vpiName:string - |vpiFullName:builtin::string - |vpiClassDefn: - \_class_defn: (builtin::system) - |vpiParent: - \_package: builtin (builtin::) - |vpiName:system - |vpiFullName:builtin::system -|uhdmallClasses: -\_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiName:work@mailbox - |vpiMethod: - \_function: (work@mailbox::new), line:3:5, endln:4:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:new - |vpiFullName:work@mailbox::new - |vpiMethod:1 - |vpiReturn: - \_class_var: (work@mailbox::new), line:3:23, endln:3:28 - |vpiParent: - \_function: (work@mailbox::new), line:3:5, endln:4:16 - |vpiTypespec: - \_ref_typespec: (work@mailbox::new) - |vpiParent: - \_class_var: (work@mailbox::new), line:3:23, endln:3:28 - |vpiFullName:work@mailbox::new - |vpiActual: - \_class_typespec: - |vpiFullName:work@mailbox::new - |vpiIODecl: - \_io_decl: (bound), line:3:23, endln:3:28 - |vpiParent: - \_function: (work@mailbox::new), line:3:5, endln:4:16 - |vpiDirection:1 - |vpiName:bound - |vpiExpr: - \_constant: , line:3:31, endln:3:32 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 - |vpiTypedef: - \_ref_typespec: (work@mailbox::new::bound) - |vpiParent: - \_io_decl: (bound), line:3:23, endln:3:28 - |vpiFullName:work@mailbox::new::bound - |vpiActual: - \_int_typespec: , line:3:19, endln:3:22 - |vpiMethod: - \_function: (work@mailbox::num), line:6:5, endln:7:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:num - |vpiFullName:work@mailbox::num - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@mailbox::num), line:6:14, endln:6:17 - |vpiParent: - \_function: (work@mailbox::num), line:6:5, endln:7:16 - |vpiTypespec: - \_ref_typespec: (work@mailbox::num) - |vpiParent: - \_int_var: (work@mailbox::num), line:6:14, endln:6:17 - |vpiFullName:work@mailbox::num - |vpiActual: - \_int_typespec: , line:6:14, endln:6:17 - |vpiFullName:work@mailbox::num - |vpiSigned:1 - |vpiMethod: - \_task: (work@mailbox::put), line:9:5, endln:10:12 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:put - |vpiFullName:work@mailbox::put - |vpiMethod:1 - |vpiVisibility:1 - |vpiIODecl: - \_io_decl: (message), line:9:15, endln:9:22 - |vpiParent: - \_task: (work@mailbox::put), line:9:5, endln:10:12 - |vpiDirection:1 - |vpiName:message - |vpiMethod: - \_function: (work@mailbox::try_put), line:12:5, endln:13:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:try_put - |vpiFullName:work@mailbox::try_put - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_logic_var: (work@mailbox::try_put) - |vpiParent: - \_function: (work@mailbox::try_put), line:12:5, endln:13:16 - |vpiFullName:work@mailbox::try_put - |vpiIODecl: - \_io_decl: (message), line:12:23, endln:12:30 - |vpiParent: - \_function: (work@mailbox::try_put), line:12:5, endln:13:16 - |vpiDirection:1 - |vpiName:message - |vpiMethod: - \_task: (work@mailbox::get), line:15:5, endln:16:12 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:get - |vpiFullName:work@mailbox::get - |vpiMethod:1 - |vpiVisibility:1 - |vpiIODecl: - \_io_decl: (message), line:15:19, endln:15:26 - |vpiParent: - \_task: (work@mailbox::get), line:15:5, endln:16:12 - |vpiDirection:6 - |vpiName:message - |vpiMethod: - \_function: (work@mailbox::try_get), line:18:5, endln:19:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:try_get - |vpiFullName:work@mailbox::try_get - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@mailbox::try_get), line:18:14, endln:18:17 - |vpiParent: - \_function: (work@mailbox::try_get), line:18:5, endln:19:16 - |vpiTypespec: - \_ref_typespec: (work@mailbox::try_get) - |vpiParent: - \_int_var: (work@mailbox::try_get), line:18:14, endln:18:17 - |vpiFullName:work@mailbox::try_get - |vpiActual: - \_int_typespec: , line:18:14, endln:18:17 - |vpiFullName:work@mailbox::try_get - |vpiSigned:1 - |vpiIODecl: - \_io_decl: (message), line:18:31, endln:18:38 - |vpiParent: - \_function: (work@mailbox::try_get), line:18:5, endln:19:16 - |vpiDirection:6 - |vpiName:message - |vpiMethod: - \_task: (work@mailbox::peek), line:21:5, endln:22:12 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:peek - |vpiFullName:work@mailbox::peek - |vpiMethod:1 - |vpiVisibility:1 - |vpiIODecl: - \_io_decl: (message), line:21:20, endln:21:27 - |vpiParent: - \_task: (work@mailbox::peek), line:21:5, endln:22:12 - |vpiDirection:6 - |vpiName:message - |vpiMethod: - \_function: (work@mailbox::try_peek), line:24:5, endln:25:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:try_peek - |vpiFullName:work@mailbox::try_peek - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@mailbox::try_peek), line:24:14, endln:24:17 - |vpiParent: - \_function: (work@mailbox::try_peek), line:24:5, endln:25:16 - |vpiTypespec: - \_ref_typespec: (work@mailbox::try_peek) - |vpiParent: - \_int_var: (work@mailbox::try_peek), line:24:14, endln:24:17 - |vpiFullName:work@mailbox::try_peek - |vpiActual: - \_int_typespec: , line:24:14, endln:24:17 - |vpiFullName:work@mailbox::try_peek - |vpiSigned:1 - |vpiIODecl: - \_io_decl: (message), line:24:31, endln:24:38 - |vpiParent: - \_function: (work@mailbox::try_peek), line:24:5, endln:25:16 - |vpiDirection:6 - |vpiName:message -|uhdmallClasses: -\_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiName:work@process - |vpiTypedef: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:state - |vpiEnumConst: - \_enum_const: (FINISHED), line:32:20, endln:32:28 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:FINISHED - |INT:0 - |vpiDecompile:0 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (RUNNING), line:32:30, endln:32:37 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:RUNNING - |INT:1 - |vpiDecompile:1 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (WAITING), line:32:39, endln:32:46 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:WAITING - |INT:2 - |vpiDecompile:2 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (SUSPENDED), line:32:48, endln:32:57 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:SUSPENDED - |INT:3 - |vpiDecompile:3 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (KILLED), line:32:59, endln:32:65 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:KILLED - |INT:4 - |vpiDecompile:4 - |vpiSize:64 - |vpiMethod: - \_function: (work@process::self), line:34:5, endln:34:11 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:self - |vpiFullName:work@process::self - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_class_var: (work@process::self), line:34:21, endln:34:28 - |vpiParent: - \_function: (work@process::self), line:34:5, endln:34:11 - |vpiTypespec: - \_ref_typespec: (work@process::self) - |vpiParent: - \_class_var: (work@process::self), line:34:21, endln:34:28 - |vpiFullName:work@process::self - |vpiActual: - \_class_typespec: , line:34:21, endln:34:28 - |vpiFullName:work@process::self - |vpiMethod: - \_function: (work@process::status), line:37:5, endln:38:16 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:status - |vpiFullName:work@process::status - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_enum_var: (work@process::status), line:37:14, endln:37:19 - |vpiParent: - \_function: (work@process::status), line:37:5, endln:38:16 - |vpiTypespec: - \_ref_typespec: (work@process::status) - |vpiParent: - \_enum_var: (work@process::status), line:37:14, endln:37:19 - |vpiFullName:work@process::status - |vpiActual: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiFullName:work@process::status - |vpiMethod: - \_task: (work@process::kill), line:40:5, endln:41:12 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:kill - |vpiFullName:work@process::kill - |vpiMethod:1 - |vpiVisibility:1 - |vpiMethod: - \_task: (work@process::await), line:43:5, endln:44:12 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:await - |vpiFullName:work@process::await - |vpiMethod:1 - |vpiVisibility:1 - |vpiMethod: - \_task: (work@process::suspend), line:46:5, endln:47:12 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:suspend - |vpiFullName:work@process::suspend - |vpiMethod:1 - |vpiVisibility:1 - |vpiMethod: - \_task: (work@process::resume), line:49:5, endln:50:12 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:resume - |vpiFullName:work@process::resume - |vpiMethod:1 - |vpiVisibility:1 -|uhdmallClasses: -\_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiName:work@semaphore - |vpiMethod: - \_function: (work@semaphore::new), line:57:5, endln:58:16 - |vpiParent: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiName:new - |vpiFullName:work@semaphore::new - |vpiMethod:1 - |vpiReturn: - \_class_var: (work@semaphore::new), line:57:22, endln:57:30 - |vpiParent: - \_function: (work@semaphore::new), line:57:5, endln:58:16 - |vpiTypespec: - \_ref_typespec: (work@semaphore::new) - |vpiParent: - \_class_var: (work@semaphore::new), line:57:22, endln:57:30 - |vpiFullName:work@semaphore::new - |vpiActual: - \_class_typespec: - |vpiFullName:work@semaphore::new - |vpiIODecl: - \_io_decl: (keyCount), line:57:22, endln:57:30 - |vpiParent: - \_function: (work@semaphore::new), line:57:5, endln:58:16 - |vpiDirection:1 - |vpiName:keyCount - |vpiExpr: - \_constant: , line:57:33, endln:57:34 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 - |vpiTypedef: - \_ref_typespec: (work@semaphore::new::keyCount) - |vpiParent: - \_io_decl: (keyCount), line:57:22, endln:57:30 - |vpiFullName:work@semaphore::new::keyCount - |vpiActual: - \_int_typespec: , line:57:18, endln:57:21 - |vpiMethod: - \_task: (work@semaphore::put), line:60:5, endln:61:12 - |vpiParent: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiName:put - |vpiFullName:work@semaphore::put - |vpiMethod:1 - |vpiVisibility:1 - |vpiIODecl: - \_io_decl: (keyCount), line:60:18, endln:60:26 - |vpiParent: - \_task: (work@semaphore::put), line:60:5, endln:61:12 - |vpiDirection:1 - |vpiName:keyCount - |vpiExpr: - \_constant: , line:60:29, endln:60:30 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiConstType:9 - |vpiTypedef: - \_ref_typespec: (work@semaphore::put::keyCount) - |vpiParent: - \_io_decl: (keyCount), line:60:18, endln:60:26 - |vpiFullName:work@semaphore::put::keyCount - |vpiActual: - \_int_typespec: , line:60:14, endln:60:17 - |vpiMethod: - \_task: (work@semaphore::get), line:63:5, endln:64:12 - |vpiParent: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiName:get - |vpiFullName:work@semaphore::get - |vpiMethod:1 - |vpiVisibility:1 - |vpiIODecl: - \_io_decl: (keyCount), line:63:18, endln:63:26 - |vpiParent: - \_task: (work@semaphore::get), line:63:5, endln:64:12 - |vpiDirection:1 - |vpiName:keyCount - |vpiExpr: - \_constant: , line:63:29, endln:63:30 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiConstType:9 - |vpiTypedef: - \_ref_typespec: (work@semaphore::get::keyCount) - |vpiParent: - \_io_decl: (keyCount), line:63:18, endln:63:26 - |vpiFullName:work@semaphore::get::keyCount - |vpiActual: - \_int_typespec: , line:63:14, endln:63:17 - |vpiMethod: - \_function: (work@semaphore::try_get), line:66:5, endln:67:16 - |vpiParent: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiName:try_get - |vpiFullName:work@semaphore::try_get - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@semaphore::try_get), line:66:14, endln:66:17 - |vpiParent: - \_function: (work@semaphore::try_get), line:66:5, endln:67:16 - |vpiTypespec: - \_ref_typespec: (work@semaphore::try_get) - |vpiParent: - \_int_var: (work@semaphore::try_get), line:66:14, endln:66:17 - |vpiFullName:work@semaphore::try_get - |vpiActual: - \_int_typespec: , line:66:14, endln:66:17 - |vpiFullName:work@semaphore::try_get - |vpiSigned:1 - |vpiIODecl: - \_io_decl: (keyCount), line:66:30, endln:66:38 - |vpiParent: - \_function: (work@semaphore::try_get), line:66:5, endln:67:16 - |vpiDirection:1 - |vpiName:keyCount - |vpiExpr: - \_constant: , line:66:41, endln:66:42 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiConstType:9 - |vpiTypedef: - \_ref_typespec: (work@semaphore::try_get::keyCount) - |vpiParent: - \_io_decl: (keyCount), line:66:30, endln:66:38 - |vpiFullName:work@semaphore::try_get::keyCount - |vpiActual: - \_int_typespec: , line:66:26, endln:66:29 -|uhdmallModules: -\_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiFullName:work@AnonymousUnion - |vpiDefName:work@AnonymousUnion - |vpiNet: - \_logic_net: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiName:o - |vpiFullName:work@AnonymousUnion.o - |vpiNet: - \_logic_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiTypespec: - \_ref_typespec: (work@AnonymousUnion.un) - |vpiParent: - \_logic_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiFullName:work@AnonymousUnion.un - |vpiActual: - \_union_typespec: , line:2:4, endln:2:16 - |vpiName:un - |vpiFullName:work@AnonymousUnion.un - |vpiPort: - \_port: (o), line:1:34, endln:1:35 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiName:o - |vpiDirection:2 - |vpiLowConn: - \_ref_obj: (work@AnonymousUnion.o.o), line:1:34, endln:1:35 - |vpiParent: - \_port: (o), line:1:34, endln:1:35 - |vpiName:o - |vpiFullName:work@AnonymousUnion.o.o - |vpiActual: - \_logic_net: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiTypedef: - \_ref_typespec: (work@AnonymousUnion.o) - |vpiParent: - \_port: (o), line:1:34, endln:1:35 - |vpiFullName:work@AnonymousUnion.o - |vpiActual: - \_int_typespec: , line:1:30, endln:1:33 - |vpiProcess: - \_initial: , line:7:4, endln:10:7 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiStmt: - \_begin: (work@AnonymousUnion), line:7:12, endln:10:7 - |vpiParent: - \_initial: , line:7:4, endln:10:7 - |vpiFullName:work@AnonymousUnion - |vpiStmt: - \_assignment: , line:8:7, endln:8:16 - |vpiParent: - \_begin: (work@AnonymousUnion), line:7:12, endln:10:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_constant: , line:8:15, endln:8:16 - |vpiDecompile:1 - |vpiSize:64 - |UINT:1 - |vpiConstType:9 - |vpiLhs: - \_hier_path: (un.v1), line:8:7, endln:8:12 - |vpiParent: - \_assignment: , line:8:7, endln:8:16 - |vpiName:un.v1 - |vpiActual: - \_ref_obj: (un), line:8:10, endln:8:12 - |vpiParent: - \_hier_path: (un.v1), line:8:7, endln:8:12 - |vpiName:un - |vpiActual: - \_ref_obj: (v1), line:8:10, endln:8:12 - |vpiParent: - \_hier_path: (un.v1), line:8:7, endln:8:12 - |vpiName:v1 - |vpiStmt: - \_assignment: , line:9:7, endln:9:16 - |vpiParent: - \_begin: (work@AnonymousUnion), line:7:12, endln:10:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_hier_path: (un.v2), line:9:11, endln:9:16 - |vpiParent: - \_assignment: , line:9:7, endln:9:16 - |vpiName:un.v2 - |vpiActual: - \_ref_obj: (un), line:9:11, endln:9:13 - |vpiParent: - \_hier_path: (un.v2), line:9:11, endln:9:16 - |vpiName:un - |vpiActual: - \_ref_obj: (work@AnonymousUnion.v2), line:9:14, endln:9:16 - |vpiParent: - \_hier_path: (un.v2), line:9:11, endln:9:16 - |vpiName:v2 - |vpiFullName:work@AnonymousUnion.v2 - |vpiLhs: - \_ref_obj: (work@AnonymousUnion.o), line:9:7, endln:9:8 - |vpiParent: - \_assignment: , line:9:7, endln:9:16 - |vpiName:o - |vpiFullName:work@AnonymousUnion.o - |vpiActual: - \_logic_net: (work@AnonymousUnion.o), line:1:34, endln:1:35 -|uhdmallModules: -\_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiParent: - \_design: (work@AnonymousUnion) - |vpiFullName:work@AssignToUnionAndReadField - |vpiDefName:work@AssignToUnionAndReadField - |vpiNet: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiName:o - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiNetType:36 - |vpiNet: - \_logic_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiTypespec: - \_ref_typespec: (work@AssignToUnionAndReadField.un) - |vpiParent: - \_logic_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiFullName:work@AssignToUnionAndReadField.un - |vpiActual: - \_union_typespec: , line:14:4, endln:14:16 - |vpiName:un - |vpiFullName:work@AssignToUnionAndReadField.un - |vpiPort: - \_port: (o), line:13:53, endln:13:54 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiName:o - |vpiDirection:2 - |vpiLowConn: - \_ref_obj: (work@AssignToUnionAndReadField.o.o), line:13:53, endln:13:54 - |vpiParent: - \_port: (o), line:13:53, endln:13:54 - |vpiName:o - |vpiFullName:work@AssignToUnionAndReadField.o.o - |vpiActual: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiTypedef: - \_ref_typespec: (work@AssignToUnionAndReadField.o) - |vpiParent: - \_port: (o), line:13:53, endln:13:54 - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiActual: - \_logic_typespec: , line:13:41, endln:13:52 - |vpiProcess: - \_initial: , line:18:4, endln:21:7 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiStmt: - \_begin: (work@AssignToUnionAndReadField), line:18:12, endln:21:7 - |vpiParent: - \_initial: , line:18:4, endln:21:7 - |vpiFullName:work@AssignToUnionAndReadField - |vpiStmt: - \_assignment: , line:19:7, endln:19:16 - |vpiParent: - \_begin: (work@AssignToUnionAndReadField), line:18:12, endln:21:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_constant: , line:19:12, endln:19:16 - |vpiDecompile:4'hA - |vpiSize:4 - |HEX:A - |vpiConstType:5 - |vpiLhs: - \_ref_obj: (work@AssignToUnionAndReadField.un), line:19:7, endln:19:9 - |vpiParent: - \_assignment: , line:19:7, endln:19:16 - |vpiName:un - |vpiFullName:work@AssignToUnionAndReadField.un - |vpiActual: - \_logic_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiStmt: - \_assignment: , line:20:7, endln:20:19 - |vpiParent: - \_begin: (work@AssignToUnionAndReadField), line:18:12, endln:21:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_hier_path: (un.field), line:20:11, endln:20:19 - |vpiParent: - \_assignment: , line:20:7, endln:20:19 - |vpiName:un.field - |vpiActual: - \_ref_obj: (un), line:20:11, endln:20:13 - |vpiParent: - \_hier_path: (un.field), line:20:11, endln:20:19 - |vpiName:un - |vpiActual: - \_ref_obj: (work@AssignToUnionAndReadField.field), line:20:14, endln:20:19 - |vpiParent: - \_hier_path: (un.field), line:20:11, endln:20:19 - |vpiName:field - |vpiFullName:work@AssignToUnionAndReadField.field - |vpiLhs: - \_ref_obj: (work@AssignToUnionAndReadField.o), line:20:7, endln:20:8 - |vpiParent: - \_assignment: , line:20:7, endln:20:19 - |vpiName:o - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiActual: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 -|uhdmtopModules: -\_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiName:work@AnonymousUnion - |vpiVariables: - \_int_var: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiTypespec: - \_ref_typespec: (work@AnonymousUnion.o) - |vpiParent: - \_int_var: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiFullName:work@AnonymousUnion.o - |vpiActual: - \_int_typespec: , line:1:30, endln:1:33 - |vpiName:o - |vpiFullName:work@AnonymousUnion.o - |vpiSigned:1 - |vpiVisibility:1 - |vpiDefName:work@AnonymousUnion - |vpiTop:1 - |vpiNet: - \_struct_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiTypespec: - \_ref_typespec: (work@AnonymousUnion.un) - |vpiParent: - \_struct_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiFullName:work@AnonymousUnion.un - |vpiActual: - \_union_typespec: , line:2:4, endln:2:9 - |vpiName:un - |vpiFullName:work@AnonymousUnion.un - |vpiTopModule:1 - |vpiPort: - \_port: (o), line:1:34, endln:1:35 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiName:o - |vpiDirection:2 - |vpiLowConn: - \_ref_obj: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiParent: - \_port: (o), line:1:34, endln:1:35 - |vpiName:o - |vpiFullName:work@AnonymousUnion.o - |vpiActual: - \_int_var: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiTypedef: - \_ref_typespec: (work@AnonymousUnion.o) - |vpiParent: - \_port: (o), line:1:34, endln:1:35 - |vpiFullName:work@AnonymousUnion.o - |vpiActual: - \_int_typespec: , line:1:30, endln:1:33 - |vpiInstance: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiProcess: - \_initial: , line:7:4, endln:10:7 - |vpiParent: - \_module_inst: work@AnonymousUnion (work@AnonymousUnion), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:1:1, endln:11:10 - |vpiStmt: - \_begin: (work@AnonymousUnion), line:7:12, endln:10:7 - |vpiParent: - \_initial: , line:7:4, endln:10:7 - |vpiFullName:work@AnonymousUnion - |vpiStmt: - \_assignment: , line:8:7, endln:8:16 - |vpiParent: - \_begin: (work@AnonymousUnion), line:7:12, endln:10:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_constant: , line:8:15, endln:8:16 - |vpiLhs: - \_hier_path: (un.v1), line:8:7, endln:8:12 - |vpiParent: - \_assignment: , line:8:7, endln:8:16 - |vpiName:un.v1 - |vpiActual: - \_ref_obj: (un), line:8:10, endln:8:12 - |vpiParent: - \_hier_path: (un.v1), line:8:7, endln:8:12 - |vpiName:un - |vpiActual: - \_struct_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiActual: - \_ref_obj: (v1), line:8:10, endln:8:12 - |vpiParent: - \_hier_path: (un.v1), line:8:7, endln:8:12 - |vpiName:v1 - |vpiActual: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiStmt: - \_assignment: , line:9:7, endln:9:16 - |vpiParent: - \_begin: (work@AnonymousUnion), line:7:12, endln:10:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_hier_path: (un.v2), line:9:11, endln:9:16 - |vpiParent: - \_assignment: , line:9:7, endln:9:16 - |vpiName:un.v2 - |vpiActual: - \_ref_obj: (un), line:9:11, endln:9:13 - |vpiParent: - \_hier_path: (un.v2), line:9:11, endln:9:16 - |vpiName:un - |vpiActual: - \_struct_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiActual: - \_ref_obj: (work@AnonymousUnion.v2), line:9:14, endln:9:16 - |vpiParent: - \_hier_path: (un.v2), line:9:11, endln:9:16 - |vpiName:v2 - |vpiFullName:work@AnonymousUnion.v2 - |vpiActual: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiLhs: - \_ref_obj: (work@AnonymousUnion.o), line:9:7, endln:9:8 - |vpiParent: - \_assignment: , line:9:7, endln:9:16 - |vpiName:o - |vpiFullName:work@AnonymousUnion.o - |vpiActual: - \_int_var: (work@AnonymousUnion.o), line:1:34, endln:1:35 -|uhdmtopModules: -\_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiName:work@AssignToUnionAndReadField - |vpiDefName:work@AssignToUnionAndReadField - |vpiTop:1 - |vpiNet: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiTypespec: - \_ref_typespec: (work@AssignToUnionAndReadField.o) - |vpiParent: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiActual: - \_logic_typespec: , line:13:41, endln:13:52 - |vpiName:o - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiNetType:36 - |vpiNet: - \_struct_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiTypespec: - \_ref_typespec: (work@AssignToUnionAndReadField.un) - |vpiParent: - \_struct_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiFullName:work@AssignToUnionAndReadField.un - |vpiActual: - \_union_typespec: , line:14:4, endln:14:9 - |vpiName:un - |vpiFullName:work@AssignToUnionAndReadField.un - |vpiTopModule:1 - |vpiPort: - \_port: (o), line:13:53, endln:13:54 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiName:o - |vpiDirection:2 - |vpiLowConn: - \_ref_obj: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiParent: - \_port: (o), line:13:53, endln:13:54 - |vpiName:o - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiActual: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiTypedef: - \_ref_typespec: (work@AssignToUnionAndReadField.o) - |vpiParent: - \_port: (o), line:13:53, endln:13:54 - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiActual: - \_logic_typespec: , line:13:41, endln:13:52 - |vpiInstance: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiProcess: - \_initial: , line:18:4, endln:21:7 - |vpiParent: - \_module_inst: work@AssignToUnionAndReadField (work@AssignToUnionAndReadField), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv, line:13:1, endln:22:10 - |vpiStmt: - \_begin: (work@AssignToUnionAndReadField), line:18:12, endln:21:7 - |vpiParent: - \_initial: , line:18:4, endln:21:7 - |vpiFullName:work@AssignToUnionAndReadField - |vpiStmt: - \_assignment: , line:19:7, endln:19:16 - |vpiParent: - \_begin: (work@AssignToUnionAndReadField), line:18:12, endln:21:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_constant: , line:19:12, endln:19:16 - |vpiLhs: - \_ref_obj: (work@AssignToUnionAndReadField.un), line:19:7, endln:19:9 - |vpiParent: - \_assignment: , line:19:7, endln:19:16 - |vpiName:un - |vpiFullName:work@AssignToUnionAndReadField.un - |vpiActual: - \_struct_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiStmt: - \_assignment: , line:20:7, endln:20:19 - |vpiParent: - \_begin: (work@AssignToUnionAndReadField), line:18:12, endln:21:7 - |vpiOpType:82 - |vpiBlocking:1 - |vpiRhs: - \_hier_path: (un.field), line:20:11, endln:20:19 - |vpiParent: - \_assignment: , line:20:7, endln:20:19 - |vpiName:un.field - |vpiActual: - \_ref_obj: (un), line:20:11, endln:20:13 - |vpiParent: - \_hier_path: (un.field), line:20:11, endln:20:19 - |vpiName:un - |vpiActual: - \_struct_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiActual: - \_ref_obj: (work@AssignToUnionAndReadField.field), line:20:14, endln:20:19 - |vpiParent: - \_hier_path: (un.field), line:20:11, endln:20:19 - |vpiName:field - |vpiFullName:work@AssignToUnionAndReadField.field - |vpiActual: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiLhs: - \_ref_obj: (work@AssignToUnionAndReadField.o), line:20:7, endln:20:8 - |vpiParent: - \_assignment: , line:20:7, endln:20:19 - |vpiName:o - |vpiFullName:work@AssignToUnionAndReadField.o - |vpiActual: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 -\_weaklyReferenced: -\_function: (work@mailbox::new), line:3:5, endln:4:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:new - |vpiFullName:work@mailbox::new - |vpiMethod:1 - |vpiReturn: - \_class_var: (work@mailbox::new), line:3:23, endln:3:28 - |vpiIODecl: - \_io_decl: (bound), line:3:23, endln:3:28 - |vpiParent: - \_function: (work@mailbox::new), line:3:5, endln:4:16 - |vpiDirection:1 - |vpiName:bound - |vpiExpr: - \_constant: , line:3:31, endln:3:32 - |vpiTypedef: - \_ref_typespec: (work@mailbox::new::bound) - |vpiParent: - \_io_decl: (bound), line:3:23, endln:3:28 - |vpiFullName:work@mailbox::new::bound - |vpiActual: - \_int_typespec: , line:3:19, endln:3:22 -\_class_typespec: - |vpiClassDefn: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 -\_int_typespec: , line:3:19, endln:3:22 - |vpiSigned:1 -\_function: (work@mailbox::num), line:6:5, endln:7:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:num - |vpiFullName:work@mailbox::num - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@mailbox::num), line:6:14, endln:6:17 -\_int_typespec: , line:6:14, endln:6:17 - |vpiSigned:1 -\_function: (work@mailbox::try_put), line:12:5, endln:13:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:try_put - |vpiFullName:work@mailbox::try_put - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_logic_var: (work@mailbox::try_put) - |vpiIODecl: - \_io_decl: (message), line:12:23, endln:12:30 - |vpiParent: - \_function: (work@mailbox::try_put), line:12:5, endln:13:16 - |vpiDirection:1 - |vpiName:message -\_function: (work@mailbox::try_get), line:18:5, endln:19:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:try_get - |vpiFullName:work@mailbox::try_get - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@mailbox::try_get), line:18:14, endln:18:17 - |vpiIODecl: - \_io_decl: (message), line:18:31, endln:18:38 - |vpiParent: - \_function: (work@mailbox::try_get), line:18:5, endln:19:16 - |vpiDirection:6 - |vpiName:message -\_int_typespec: , line:18:14, endln:18:17 - |vpiSigned:1 -\_function: (work@mailbox::try_peek), line:24:5, endln:25:16 - |vpiParent: - \_class_defn: (work@mailbox), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:1:3, endln:27:11 - |vpiName:try_peek - |vpiFullName:work@mailbox::try_peek - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@mailbox::try_peek), line:24:14, endln:24:17 - |vpiIODecl: - \_io_decl: (message), line:24:31, endln:24:38 - |vpiParent: - \_function: (work@mailbox::try_peek), line:24:5, endln:25:16 - |vpiDirection:6 - |vpiName:message -\_int_typespec: , line:24:14, endln:24:17 - |vpiSigned:1 -\_enum_typespec: (state), line:32:5, endln:32:74 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:state - |vpiEnumConst: - \_enum_const: (FINISHED), line:32:20, endln:32:28 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:FINISHED - |INT:0 - |vpiDecompile:0 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (RUNNING), line:32:30, endln:32:37 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:RUNNING - |INT:1 - |vpiDecompile:1 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (WAITING), line:32:39, endln:32:46 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:WAITING - |INT:2 - |vpiDecompile:2 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (SUSPENDED), line:32:48, endln:32:57 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:SUSPENDED - |INT:3 - |vpiDecompile:3 - |vpiSize:64 - |vpiEnumConst: - \_enum_const: (KILLED), line:32:59, endln:32:65 - |vpiParent: - \_enum_typespec: (state), line:32:5, endln:32:74 - |vpiName:KILLED - |INT:4 - |vpiDecompile:4 - |vpiSize:64 -\_function: (work@process::self), line:34:5, endln:34:11 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:self - |vpiFullName:work@process::self - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_class_var: (work@process::self), line:34:21, endln:34:28 -\_class_typespec: , line:34:21, endln:34:28 - |vpiClassDefn: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 -\_function: (work@process::status), line:37:5, endln:38:16 - |vpiParent: - \_class_defn: (work@process), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:30:3, endln:52:11 - |vpiName:status - |vpiFullName:work@process::status - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_enum_var: (work@process::status), line:37:14, endln:37:19 -\_function: (work@semaphore::new), line:57:5, endln:58:16 - |vpiParent: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiName:new - |vpiFullName:work@semaphore::new - |vpiMethod:1 - |vpiReturn: - \_class_var: (work@semaphore::new), line:57:22, endln:57:30 - |vpiIODecl: - \_io_decl: (keyCount), line:57:22, endln:57:30 - |vpiParent: - \_function: (work@semaphore::new), line:57:5, endln:58:16 - |vpiDirection:1 - |vpiName:keyCount - |vpiExpr: - \_constant: , line:57:33, endln:57:34 - |vpiTypedef: - \_ref_typespec: (work@semaphore::new::keyCount) - |vpiParent: - \_io_decl: (keyCount), line:57:22, endln:57:30 - |vpiFullName:work@semaphore::new::keyCount - |vpiActual: - \_int_typespec: , line:57:18, endln:57:21 -\_class_typespec: - |vpiClassDefn: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 -\_int_typespec: , line:57:18, endln:57:21 - |vpiSigned:1 -\_int_typespec: , line:60:14, endln:60:17 - |vpiSigned:1 -\_int_typespec: , line:63:14, endln:63:17 - |vpiSigned:1 -\_function: (work@semaphore::try_get), line:66:5, endln:67:16 - |vpiParent: - \_class_defn: (work@semaphore), file:${SURELOG_DIR}/tests/StructNetUnionTypespec/builtin.sv, line:55:3, endln:69:11 - |vpiName:try_get - |vpiFullName:work@semaphore::try_get - |vpiMethod:1 - |vpiVisibility:1 - |vpiReturn: - \_int_var: (work@semaphore::try_get), line:66:14, endln:66:17 - |vpiIODecl: - \_io_decl: (keyCount), line:66:30, endln:66:38 - |vpiParent: - \_function: (work@semaphore::try_get), line:66:5, endln:67:16 - |vpiDirection:1 - |vpiName:keyCount - |vpiExpr: - \_constant: , line:66:41, endln:66:42 - |vpiTypedef: - \_ref_typespec: (work@semaphore::try_get::keyCount) - |vpiParent: - \_io_decl: (keyCount), line:66:30, endln:66:38 - |vpiFullName:work@semaphore::try_get::keyCount - |vpiActual: - \_int_typespec: , line:66:26, endln:66:29 -\_int_typespec: , line:66:14, endln:66:17 - |vpiSigned:1 -\_int_typespec: , line:66:26, endln:66:29 - |vpiSigned:1 -\_int_typespec: , line:1:30, endln:1:33 - |vpiSigned:1 -\_int_typespec: , line:1:30, endln:1:33 - |vpiParent: - \_int_var: (work@AnonymousUnion.o), line:1:34, endln:1:35 - |vpiSigned:1 -\_union_typespec: , line:2:4, endln:2:9 - |vpiParent: - \_struct_net: (work@AnonymousUnion.un), line:5:6, endln:5:8 - |vpiPacked:1 - |vpiTypespecMember: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiParent: - \_union_typespec: , line:2:4, endln:2:9 - |vpiName:v1 - |vpiTypespec: - \_ref_typespec: (work@AnonymousUnion.un.v1) - |vpiParent: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiFullName:work@AnonymousUnion.un.v1 - |vpiActual: - \_int_typespec: , line:3:7, endln:3:10 - |vpiRefFile:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv - |vpiRefLineNo:3 - |vpiRefColumnNo:7 - |vpiRefEndLineNo:3 - |vpiRefEndColumnNo:10 - |vpiTypespecMember: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiParent: - \_union_typespec: , line:2:4, endln:2:9 - |vpiName:v2 - |vpiTypespec: - \_ref_typespec: (work@AnonymousUnion.un.v2) - |vpiParent: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiFullName:work@AnonymousUnion.un.v2 - |vpiActual: - \_int_typespec: , line:4:7, endln:4:10 - |vpiRefFile:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv - |vpiRefLineNo:4 - |vpiRefColumnNo:7 - |vpiRefEndLineNo:4 - |vpiRefEndColumnNo:10 -\_int_typespec: , line:3:7, endln:3:10 - |vpiParent: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiSigned:1 -\_int_typespec: , line:4:7, endln:4:10 - |vpiParent: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiSigned:1 -\_logic_typespec: , line:13:41, endln:13:52 - |vpiRange: - \_range: , line:13:47, endln:13:52 - |vpiParent: - \_logic_typespec: , line:13:41, endln:13:52 - |vpiLeftRange: - \_constant: , line:13:48, endln:13:49 - |vpiParent: - \_range: , line:13:47, endln:13:52 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiConstType:9 - |vpiRightRange: - \_constant: , line:13:50, endln:13:51 - |vpiParent: - \_range: , line:13:47, endln:13:52 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 -\_logic_typespec: , line:13:41, endln:13:52 - |vpiParent: - \_logic_net: (work@AssignToUnionAndReadField.o), line:13:53, endln:13:54 - |vpiRange: - \_range: , line:13:47, endln:13:52 - |vpiParent: - \_logic_typespec: , line:13:41, endln:13:52 - |vpiLeftRange: - \_constant: , line:13:48, endln:13:49 - |vpiParent: - \_range: , line:13:47, endln:13:52 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiConstType:9 - |vpiRightRange: - \_constant: , line:13:50, endln:13:51 - |vpiParent: - \_range: , line:13:47, endln:13:52 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 -\_union_typespec: , line:14:4, endln:14:9 - |vpiParent: - \_struct_net: (work@AssignToUnionAndReadField.un), line:16:6, endln:16:8 - |vpiPacked:1 - |vpiTypespecMember: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiParent: - \_union_typespec: , line:14:4, endln:14:9 - |vpiName:field - |vpiTypespec: - \_ref_typespec: (work@AssignToUnionAndReadField.un.field) - |vpiParent: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiFullName:work@AssignToUnionAndReadField.un.field - |vpiActual: - \_bit_typespec: , line:15:7, endln:15:16 - |vpiRefFile:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv - |vpiRefLineNo:15 - |vpiRefColumnNo:7 - |vpiRefEndLineNo:15 - |vpiRefEndColumnNo:16 -\_bit_typespec: , line:15:7, endln:15:16 - |vpiParent: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiRange: - \_range: , line:15:11, endln:15:16 - |vpiParent: - \_bit_typespec: , line:15:7, endln:15:16 - |vpiLeftRange: - \_constant: , line:15:12, endln:15:13 - |vpiParent: - \_range: , line:15:11, endln:15:16 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiConstType:9 - |vpiRightRange: - \_constant: , line:15:14, endln:15:15 - |vpiParent: - \_range: , line:15:11, endln:15:16 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 -\_int_typespec: , line:1:30, endln:1:33 - |vpiSigned:1 -\_union_typespec: , line:2:4, endln:2:16 - |vpiPacked:1 - |vpiTypespecMember: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiParent: - \_union_typespec: , line:2:4, endln:2:16 - |vpiName:v1 - |vpiTypespec: - \_ref_typespec: (v1) - |vpiParent: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiFullName:v1 - |vpiActual: - \_int_typespec: , line:3:7, endln:3:10 - |vpiRefFile:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv - |vpiRefLineNo:3 - |vpiRefColumnNo:7 - |vpiRefEndLineNo:3 - |vpiRefEndColumnNo:10 - |vpiTypespecMember: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiParent: - \_union_typespec: , line:2:4, endln:2:16 - |vpiName:v2 - |vpiTypespec: - \_ref_typespec: (v2) - |vpiParent: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiFullName:v2 - |vpiActual: - \_int_typespec: , line:4:7, endln:4:10 - |vpiRefFile:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv - |vpiRefLineNo:4 - |vpiRefColumnNo:7 - |vpiRefEndLineNo:4 - |vpiRefEndColumnNo:10 -\_int_typespec: , line:3:7, endln:3:10 - |vpiParent: - \_typespec_member: (v1), line:3:11, endln:3:13 - |vpiSigned:1 -\_int_typespec: , line:4:7, endln:4:10 - |vpiParent: - \_typespec_member: (v2), line:4:11, endln:4:13 - |vpiSigned:1 -\_logic_typespec: , line:13:41, endln:13:52 - |vpiRange: - \_range: , line:13:47, endln:13:52 - |vpiParent: - \_logic_typespec: , line:13:41, endln:13:52 - |vpiLeftRange: - \_constant: , line:13:48, endln:13:49 - |vpiParent: - \_range: , line:13:47, endln:13:52 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiConstType:9 - |vpiRightRange: - \_constant: , line:13:50, endln:13:51 - |vpiParent: - \_range: , line:13:47, endln:13:52 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 -\_union_typespec: , line:14:4, endln:14:16 - |vpiPacked:1 - |vpiTypespecMember: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiParent: - \_union_typespec: , line:14:4, endln:14:16 - |vpiName:field - |vpiTypespec: - \_ref_typespec: (field) - |vpiParent: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiFullName:field - |vpiActual: - \_bit_typespec: , line:15:7, endln:15:16 - |vpiRefFile:${SURELOG_DIR}/tests/StructNetUnionTypespec/dut.sv - |vpiRefLineNo:15 - |vpiRefColumnNo:7 - |vpiRefEndLineNo:15 - |vpiRefEndColumnNo:16 -\_bit_typespec: , line:15:7, endln:15:16 - |vpiParent: - \_typespec_member: (field), line:15:17, endln:15:22 - |vpiRange: - \_range: , line:15:11, endln:15:16 - |vpiParent: - \_bit_typespec: , line:15:7, endln:15:16 - |vpiLeftRange: - \_constant: , line:15:12, endln:15:13 - |vpiParent: - \_range: , line:15:11, endln:15:16 - |vpiDecompile:3 - |vpiSize:64 - |UINT:3 - |vpiConstType:9 - |vpiRightRange: - \_constant: , line:15:14, endln:15:15 - |vpiParent: - \_range: , line:15:11, endln:15:16 - |vpiDecompile:0 - |vpiSize:64 - |UINT:0 - |vpiConstType:9 -=================== -[ FATAL] : 0 -[ SYNTAX] : 0 -[ ERROR] : 0 -[WARNING] : 2 -[ NOTE] : 7 diff --git a/tests/StructNetUnionTypespec/StructNetUnionTypespec.sl b/tests/StructNetUnionTypespec/StructNetUnionTypespec.sl deleted file mode 100644 index 368268095b..0000000000 --- a/tests/StructNetUnionTypespec/StructNetUnionTypespec.sl +++ /dev/null @@ -1 +0,0 @@ --parse -elabuhdm -d uhdm -d ast dut.sv diff --git a/tests/StructNetUnionTypespec/dut.sv b/tests/StructNetUnionTypespec/dut.sv deleted file mode 100644 index 13f53524c1..0000000000 --- a/tests/StructNetUnionTypespec/dut.sv +++ /dev/null @@ -1,22 +0,0 @@ -module AnonymousUnion(output int o); - union packed { - int v1; - int v2; - } un; - - initial begin - un.v1 = 1; - o = un.v2; - end -endmodule - -module AssignToUnionAndReadField(output logic [3:0] o); - union packed { - bit [3:0] field; - } un; - - initial begin - un = 4'hA; - o = un.field; - end -endmodule diff --git a/tests/StructUnsizedVal/StructUnsizedVal.log b/tests/StructUnsizedVal/StructUnsizedVal.log index b33ba6abea..ad334786ae 100644 --- a/tests/StructUnsizedVal/StructUnsizedVal.log +++ b/tests/StructUnsizedVal/StructUnsizedVal.log @@ -1792,6 +1792,5 @@ design: (work@top) [WARNING] : 5 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StructUnsizedVal/dut.sv | ${SURELOG_DIR}/build/regression/StructUnsizedVal/roundtrip/dut_000.sv | 8 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StructUnsizedVal/dut.sv | ${SURELOG_DIR}/build/regression/StructUnsizedVal/roundtrip/dut_000.sv | 8 | 23 | \ No newline at end of file diff --git a/tests/StructVar/StructVar.log b/tests/StructVar/StructVar.log index 312ece18fa..61fbe63905 100644 --- a/tests/StructVar/StructVar.log +++ b/tests/StructVar/StructVar.log @@ -2136,6 +2136,5 @@ design: (work@test) [WARNING] : 4 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/StructVar/dut.sv | ${SURELOG_DIR}/build/regression/StructVar/roundtrip/dut_000.sv | 19 | 76 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/StructVar/dut.sv | ${SURELOG_DIR}/build/regression/StructVar/roundtrip/dut_000.sv | 19 | 76 | \ No newline at end of file diff --git a/tests/SurelogMacro/SurelogMacro.log b/tests/SurelogMacro/SurelogMacro.log index 0fe5207c2c..5e8a0cdcb3 100644 --- a/tests/SurelogMacro/SurelogMacro.log +++ b/tests/SurelogMacro/SurelogMacro.log @@ -1066,7 +1066,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/SurelogMacro/builtin.sv | ${SURELOG_DIR}/build/regression/SurelogMacro/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/SurelogMacro/dut.sv | ${SURELOG_DIR}/build/regression/SurelogMacro/roundtrip/dut_000.sv | 1 | 5 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SurelogMacro/dut.sv | ${SURELOG_DIR}/build/regression/SurelogMacro/roundtrip/dut_000.sv | 1 | 5 | \ No newline at end of file diff --git a/tests/SynthFilterDollarError/SynthFilterDollarError.log b/tests/SynthFilterDollarError/SynthFilterDollarError.log index 3ed92a4b83..22dc287607 100644 --- a/tests/SynthFilterDollarError/SynthFilterDollarError.log +++ b/tests/SynthFilterDollarError/SynthFilterDollarError.log @@ -145,6 +145,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SynthFilterDollarError/dut.sv | ${SURELOG_DIR}/build/regression/SynthFilterDollarError/roundtrip/dut_000.sv | 1 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SynthFilterDollarError/dut.sv | ${SURELOG_DIR}/build/regression/SynthFilterDollarError/roundtrip/dut_000.sv | 1 | 10 | \ No newline at end of file diff --git a/tests/SynthForeach/SynthForeach.log b/tests/SynthForeach/SynthForeach.log index 10145fef80..7ba07ab6f8 100644 --- a/tests/SynthForeach/SynthForeach.log +++ b/tests/SynthForeach/SynthForeach.log @@ -2001,6 +2001,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SynthForeach/dut.sv | ${SURELOG_DIR}/build/regression/SynthForeach/roundtrip/dut_000.sv | 8 | 16 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/SynthForeach/dut.sv | ${SURELOG_DIR}/build/regression/SynthForeach/roundtrip/dut_000.sv | 8 | 16 | \ No newline at end of file diff --git a/tests/SystemCall/SystemCall.log b/tests/SystemCall/SystemCall.log index 3e0710405a..c588de3e31 100644 --- a/tests/SystemCall/SystemCall.log +++ b/tests/SystemCall/SystemCall.log @@ -342,13 +342,5 @@ design: (work@m2) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/SystemCall/dut.sv:3:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/SystemCall/dut.sv:4:12: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/SystemCall/dut.sv:3:13: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/SystemCall/dut.sv:4:12: Non synthesizable construct, $past -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/SystemCall/dut.sv | ${SURELOG_DIR}/build/regression/SystemCall/roundtrip/dut_000.sv | 2 | 6 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/SystemCall/dut.sv | ${SURELOG_DIR}/build/regression/SystemCall/roundtrip/dut_000.sv | 2 | 6 | \ No newline at end of file diff --git a/tests/TNocBadType/TNocBadType.log b/tests/TNocBadType/TNocBadType.log index bdeab58d02..b6b4ac329e 100644 --- a/tests/TNocBadType/TNocBadType.log +++ b/tests/TNocBadType/TNocBadType.log @@ -204,11 +204,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/TNocBadType/dut.sv:10:11: Unsupported typespec, tnoc_common_header -[LINT]: \_ ${SURELOG_DIR}/tests/TNocBadType/dut.sv:7:1: -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TNocBadType/dut.sv | ${SURELOG_DIR}/build/regression/TNocBadType/roundtrip/dut_000.sv | 2 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TNocBadType/dut.sv | ${SURELOG_DIR}/build/regression/TNocBadType/roundtrip/dut_000.sv | 2 | 13 | \ No newline at end of file diff --git a/tests/TaggedParam/TaggedParam.log b/tests/TaggedParam/TaggedParam.log index 3122fed141..28ac6fa22f 100644 --- a/tests/TaggedParam/TaggedParam.log +++ b/tests/TaggedParam/TaggedParam.log @@ -895,6 +895,5 @@ design: (work@fpu_wrap) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaggedParam/dut.sv | ${SURELOG_DIR}/build/regression/TaggedParam/roundtrip/dut_000.sv | 7 | 27 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaggedParam/dut.sv | ${SURELOG_DIR}/build/regression/TaggedParam/roundtrip/dut_000.sv | 7 | 27 | \ No newline at end of file diff --git a/tests/TaggedPatternLogic/TaggedPatternLogic.log b/tests/TaggedPatternLogic/TaggedPatternLogic.log index 7af9d0d36b..482df8291e 100644 --- a/tests/TaggedPatternLogic/TaggedPatternLogic.log +++ b/tests/TaggedPatternLogic/TaggedPatternLogic.log @@ -735,6 +735,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaggedPatternLogic/dut.sv | ${SURELOG_DIR}/build/regression/TaggedPatternLogic/roundtrip/dut_000.sv | 1 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaggedPatternLogic/dut.sv | ${SURELOG_DIR}/build/regression/TaggedPatternLogic/roundtrip/dut_000.sv | 1 | 15 | \ No newline at end of file diff --git a/tests/TaskBind/TaskBind.log b/tests/TaskBind/TaskBind.log index 18267f9ec9..c503e6e566 100644 --- a/tests/TaskBind/TaskBind.log +++ b/tests/TaskBind/TaskBind.log @@ -403,6 +403,5 @@ design: (work@tb) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaskBind/dut.sv | ${SURELOG_DIR}/build/regression/TaskBind/roundtrip/dut_000.sv | 2 | 9 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaskBind/dut.sv | ${SURELOG_DIR}/build/regression/TaskBind/roundtrip/dut_000.sv | 2 | 9 | \ No newline at end of file diff --git a/tests/TaskDeclNoOrder/TaskDeclNoOrder.log b/tests/TaskDeclNoOrder/TaskDeclNoOrder.log index ca228ebff1..60487094c3 100644 --- a/tests/TaskDeclNoOrder/TaskDeclNoOrder.log +++ b/tests/TaskDeclNoOrder/TaskDeclNoOrder.log @@ -965,6 +965,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaskDeclNoOrder/dut.sv | ${SURELOG_DIR}/build/regression/TaskDeclNoOrder/roundtrip/dut_000.sv | 16 | 24 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaskDeclNoOrder/dut.sv | ${SURELOG_DIR}/build/regression/TaskDeclNoOrder/roundtrip/dut_000.sv | 16 | 24 | \ No newline at end of file diff --git a/tests/TaskDeclTypes/TaskDeclTypes.log b/tests/TaskDeclTypes/TaskDeclTypes.log index 3a36997201..16026bb64b 100644 --- a/tests/TaskDeclTypes/TaskDeclTypes.log +++ b/tests/TaskDeclTypes/TaskDeclTypes.log @@ -292,6 +292,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaskDeclTypes/dut.sv | ${SURELOG_DIR}/build/regression/TaskDeclTypes/roundtrip/dut_000.sv | 4 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaskDeclTypes/dut.sv | ${SURELOG_DIR}/build/regression/TaskDeclTypes/roundtrip/dut_000.sv | 4 | 7 | \ No newline at end of file diff --git a/tests/TaskProto/TaskProto.log b/tests/TaskProto/TaskProto.log index 97ebe6ec76..c9c3066ad7 100644 --- a/tests/TaskProto/TaskProto.log +++ b/tests/TaskProto/TaskProto.log @@ -315,6 +315,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaskProto/dut.sv | ${SURELOG_DIR}/build/regression/TaskProto/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaskProto/dut.sv | ${SURELOG_DIR}/build/regression/TaskProto/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/TaskProtoDef/TaskProtoDef.log b/tests/TaskProtoDef/TaskProtoDef.log index c612b7d9a9..ce8e623946 100644 --- a/tests/TaskProtoDef/TaskProtoDef.log +++ b/tests/TaskProtoDef/TaskProtoDef.log @@ -160,6 +160,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TaskProtoDef/dut.sv | ${SURELOG_DIR}/build/regression/TaskProtoDef/roundtrip/dut_000.sv | 4 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TaskProtoDef/dut.sv | ${SURELOG_DIR}/build/regression/TaskProtoDef/roundtrip/dut_000.sv | 4 | 6 | \ No newline at end of file diff --git a/tests/TernaryAssoc/TernaryAssoc.log b/tests/TernaryAssoc/TernaryAssoc.log index 91b5c2d73d..d603003e1a 100644 --- a/tests/TernaryAssoc/TernaryAssoc.log +++ b/tests/TernaryAssoc/TernaryAssoc.log @@ -484,6 +484,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TernaryAssoc/dut.sv | ${SURELOG_DIR}/build/regression/TernaryAssoc/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TernaryAssoc/dut.sv | ${SURELOG_DIR}/build/regression/TernaryAssoc/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/TestFileSplit/TestFileSplit.log b/tests/TestFileSplit/TestFileSplit.log index 8e43036129..edb297f96f 100644 --- a/tests/TestFileSplit/TestFileSplit.log +++ b/tests/TestFileSplit/TestFileSplit.log @@ -477,22 +477,9 @@ task 9 [WARNING] : 10 [ NOTE] : 8 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/leaf.sv:13:1: Non synthesizable construct, leaf_13 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/leaf.sv:13:1: Non synthesizable construct, leaf_13 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v:17:1: Non synthesizable construct, middle_17 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v:17:1: Non synthesizable construct, middle_17 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v:25:1: Non synthesizable construct, middle_25 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v:25:1: Non synthesizable construct, middle_25 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/leaf.sv:13:1: Non synthesizable construct, work@leaf_13 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v:17:1: Non synthesizable construct, work@middle_17 -[LINT]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v:25:1: Non synthesizable construct, work@middle_25 -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/TestFileSplit/builtin.sv | ${SURELOG_DIR}/build/regression/TestFileSplit/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/TestFileSplit/leaf.sv | ${SURELOG_DIR}/build/regression/TestFileSplit/roundtrip/leaf_000.sv | 3 | 14 | [roundtrip]: ${SURELOG_DIR}/tests/TestFileSplit/middle.v | ${SURELOG_DIR}/build/regression/TestFileSplit/roundtrip/middle_000.v | 4 | 26 | [roundtrip]: ${SURELOG_DIR}/tests/TestFileSplit/mod.v | ${SURELOG_DIR}/build/regression/TestFileSplit/roundtrip/mod_000.v | 1 | 7 | -[roundtrip]: ${SURELOG_DIR}/tests/TestFileSplit/top.v | ${SURELOG_DIR}/build/regression/TestFileSplit/roundtrip/top_000.v | 11 | 54 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/TestFileSplit/top.v | ${SURELOG_DIR}/build/regression/TestFileSplit/roundtrip/top_000.v | 11 | 54 | \ No newline at end of file diff --git a/tests/TestNoHash/TestNoHash.log b/tests/TestNoHash/TestNoHash.log index a8494249cc..5243c0321a 100644 --- a/tests/TestNoHash/TestNoHash.log +++ b/tests/TestNoHash/TestNoHash.log @@ -209,7 +209,6 @@ design: (unnamed) [WARNING] : 2 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/TestNoHash/pkg1.sv | ${SURELOG_DIR}/tests/TestNoHash/pkg1_000.sv | 0 | 7 | -[roundtrip]: ${SURELOG_DIR}/tests/TestNoHash/pkg2.sv | ${SURELOG_DIR}/tests/TestNoHash/pkg2_000.sv | 10 | 16 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/TestNoHash/pkg2.sv | ${SURELOG_DIR}/tests/TestNoHash/pkg2_000.sv | 10 | 16 | \ No newline at end of file diff --git a/tests/TestSepComp/TestSepComp.log b/tests/TestSepComp/TestSepComp.log index c43e8bf998..ec9aef4d58 100644 --- a/tests/TestSepComp/TestSepComp.log +++ b/tests/TestSepComp/TestSepComp.log @@ -461,8 +461,7 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/TestSepComp/pkg1.sv | ${SURELOG_DIR}/tests/TestSepComp/pkg1_000.sv | 0 | 7 | [roundtrip]: ${SURELOG_DIR}/tests/TestSepComp/pkg2.sv | ${SURELOG_DIR}/tests/TestSepComp/pkg2_000.sv | 10 | 16 | -[roundtrip]: ${SURELOG_DIR}/tests/TestSepComp/top.sv | ${SURELOG_DIR}/tests/TestSepComp/top_000.sv | 0 | 4 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/TestSepComp/top.sv | ${SURELOG_DIR}/tests/TestSepComp/top_000.sv | 0 | 4 | \ No newline at end of file diff --git a/tests/TestSepCompNoHash/TestSepCompNoHash.log b/tests/TestSepCompNoHash/TestSepCompNoHash.log index 65d67cd111..322bb31ff7 100644 --- a/tests/TestSepCompNoHash/TestSepCompNoHash.log +++ b/tests/TestSepCompNoHash/TestSepCompNoHash.log @@ -464,8 +464,7 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg1.sv | ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg1_000.sv | 0 | 7 | [roundtrip]: ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg2.sv | ${SURELOG_DIR}/tests/TestSepCompNoHash/pkg2_000.sv | 10 | 16 | -[roundtrip]: ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv | ${SURELOG_DIR}/tests/TestSepCompNoHash/top_000.sv | 0 | 4 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/TestSepCompNoHash/top.sv | ${SURELOG_DIR}/tests/TestSepCompNoHash/top_000.sv | 0 | 4 | \ No newline at end of file diff --git a/tests/TfCalls/TfCalls.log b/tests/TfCalls/TfCalls.log index a5e1be0cdf..d05548fc3d 100644 --- a/tests/TfCalls/TfCalls.log +++ b/tests/TfCalls/TfCalls.log @@ -232,6 +232,5 @@ design: (work@m1) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TfCalls/top.v | ${SURELOG_DIR}/build/regression/TfCalls/roundtrip/top_000.v | 1 | 5 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TfCalls/top.v | ${SURELOG_DIR}/build/regression/TfCalls/roundtrip/top_000.v | 1 | 5 | \ No newline at end of file diff --git a/tests/ThisHier/ThisHier.log b/tests/ThisHier/ThisHier.log index 874e35dff0..1699504d89 100644 --- a/tests/ThisHier/ThisHier.log +++ b/tests/ThisHier/ThisHier.log @@ -750,13 +750,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/ThisHier/dut.sv:3:1: Non synthesizable construct, uvm_object -[LINT]: ${SURELOG_DIR}/tests/ThisHier/dut.sv:8:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/ThisHier/dut.sv:8:1: Non synthesizable construct, uvm_barrier -[LINT]: ${SURELOG_DIR}/tests/ThisHier/dut.sv:19:1: Non synthesizable construct, uvm_phase -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ThisHier/dut.sv | ${SURELOG_DIR}/build/regression/ThisHier/roundtrip/dut_000.sv | 15 | 33 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/ThisHier/dut.sv | ${SURELOG_DIR}/build/regression/ThisHier/roundtrip/dut_000.sv | 15 | 33 | \ No newline at end of file diff --git a/tests/TimeUnit/TimeUnit.log b/tests/TimeUnit/TimeUnit.log index 75fd758630..7216e530d7 100644 --- a/tests/TimeUnit/TimeUnit.log +++ b/tests/TimeUnit/TimeUnit.log @@ -139,17 +139,7 @@ task 9 [WARNING] : 18 [ NOTE] : 7 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/TimeUnit/top1.v:8:11: Non synthesizable construct, select -[LINT]: ${SURELOG_DIR}/tests/TimeUnit/top1.v:8:19: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/TimeUnit/top1.v:7:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/tests/TimeUnit/top1.v:8:11: Non synthesizable construct, select -[LINT]: ${SURELOG_DIR}/tests/TimeUnit/top1.v:8:19: Non synthesizable construct, data -[LINT]: ${SURELOG_DIR}/tests/TimeUnit/top1.v:7:3: Non synthesizable construct, cb -============================== End Linting Results ============================== - -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/TimeUnit/builtin.sv | ${SURELOG_DIR}/build/regression/TimeUnit/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/TimeUnit/top.v | ${SURELOG_DIR}/build/regression/TimeUnit/roundtrip/top_000.v | 21 | 95 | -[roundtrip]: ${SURELOG_DIR}/tests/TimeUnit/top1.v | ${SURELOG_DIR}/build/regression/TimeUnit/roundtrip/top1_000.v | 27 | 290 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/TimeUnit/top1.v | ${SURELOG_DIR}/build/regression/TimeUnit/roundtrip/top1_000.v | 27 | 290 | \ No newline at end of file diff --git a/tests/TopFunc/TopFunc.log b/tests/TopFunc/TopFunc.log index 31eec64a5c..b498f42b76 100644 --- a/tests/TopFunc/TopFunc.log +++ b/tests/TopFunc/TopFunc.log @@ -306,6 +306,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TopFunc/dut.sv | ${SURELOG_DIR}/build/regression/TopFunc/roundtrip/dut_000.sv | 1 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TopFunc/dut.sv | ${SURELOG_DIR}/build/regression/TopFunc/roundtrip/dut_000.sv | 1 | 7 | \ No newline at end of file diff --git a/tests/TranslateOff/TranslateOff.log b/tests/TranslateOff/TranslateOff.log index 2214c2c082..4e95e6fcd9 100644 --- a/tests/TranslateOff/TranslateOff.log +++ b/tests/TranslateOff/TranslateOff.log @@ -223,6 +223,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TranslateOff/dut.sv | ${SURELOG_DIR}/build/regression/TranslateOff/roundtrip/dut_000.sv | 3 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TranslateOff/dut.sv | ${SURELOG_DIR}/build/regression/TranslateOff/roundtrip/dut_000.sv | 3 | 23 | \ No newline at end of file diff --git a/tests/TypeDefGenScope/TypeDefGenScope.log b/tests/TypeDefGenScope/TypeDefGenScope.log index 416ee23cdf..84f86ef8b9 100644 --- a/tests/TypeDefGenScope/TypeDefGenScope.log +++ b/tests/TypeDefGenScope/TypeDefGenScope.log @@ -327,6 +327,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypeDefGenScope/dut.sv | ${SURELOG_DIR}/build/regression/TypeDefGenScope/roundtrip/dut_000.sv | 13 | 24 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypeDefGenScope/dut.sv | ${SURELOG_DIR}/build/regression/TypeDefGenScope/roundtrip/dut_000.sv | 13 | 24 | \ No newline at end of file diff --git a/tests/TypeDefScope/TypeDefScope.log b/tests/TypeDefScope/TypeDefScope.log index 4410f6e56e..3c57703068 100644 --- a/tests/TypeDefScope/TypeDefScope.log +++ b/tests/TypeDefScope/TypeDefScope.log @@ -1518,7 +1518,6 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/TypeDefScope/builtin.sv | ${SURELOG_DIR}/build/regression/TypeDefScope/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/TypeDefScope/dut.sv | ${SURELOG_DIR}/build/regression/TypeDefScope/roundtrip/dut_000.sv | 2 | 18 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/TypeDefScope/dut.sv | ${SURELOG_DIR}/build/regression/TypeDefScope/roundtrip/dut_000.sv | 2 | 18 | \ No newline at end of file diff --git a/tests/TypeParam/TypeParam.log b/tests/TypeParam/TypeParam.log index d3ae7a7b37..caff955977 100644 --- a/tests/TypeParam/TypeParam.log +++ b/tests/TypeParam/TypeParam.log @@ -631,6 +631,5 @@ design: (work@top) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypeParam/dut.sv | ${SURELOG_DIR}/build/regression/TypeParam/roundtrip/dut_000.sv | 10 | 21 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypeParam/dut.sv | ${SURELOG_DIR}/build/regression/TypeParam/roundtrip/dut_000.sv | 10 | 21 | \ No newline at end of file diff --git a/tests/TypeParam2/TypeParam2.log b/tests/TypeParam2/TypeParam2.log index baf50d4c33..8df23b9e43 100644 --- a/tests/TypeParam2/TypeParam2.log +++ b/tests/TypeParam2/TypeParam2.log @@ -374,6 +374,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypeParam2/dut.sv | ${SURELOG_DIR}/build/regression/TypeParam2/roundtrip/dut_000.sv | 6 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypeParam2/dut.sv | ${SURELOG_DIR}/build/regression/TypeParam2/roundtrip/dut_000.sv | 6 | 20 | \ No newline at end of file diff --git a/tests/TypeParamElab/TypeParamElab.log b/tests/TypeParamElab/TypeParamElab.log index a94a3813f5..aa009bc277 100644 --- a/tests/TypeParamElab/TypeParamElab.log +++ b/tests/TypeParamElab/TypeParamElab.log @@ -1425,6 +1425,5 @@ design: (work@axi_node_arbiter) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypeParamElab/dut.sv | ${SURELOG_DIR}/build/regression/TypeParamElab/roundtrip/dut_000.sv | 21 | 37 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypeParamElab/dut.sv | ${SURELOG_DIR}/build/regression/TypeParamElab/roundtrip/dut_000.sv | 21 | 37 | \ No newline at end of file diff --git a/tests/TypeParamOverride/TypeParamOverride.log b/tests/TypeParamOverride/TypeParamOverride.log index dd49fbedfc..45f8dfce46 100644 --- a/tests/TypeParamOverride/TypeParamOverride.log +++ b/tests/TypeParamOverride/TypeParamOverride.log @@ -497,6 +497,5 @@ design: (work@ariane_testharness) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypeParamOverride/dut.sv | ${SURELOG_DIR}/build/regression/TypeParamOverride/roundtrip/dut_000.sv | 11 | 31 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypeParamOverride/dut.sv | ${SURELOG_DIR}/build/regression/TypeParamOverride/roundtrip/dut_000.sv | 11 | 31 | \ No newline at end of file diff --git a/tests/TypedefAlias/TypedefAlias.log b/tests/TypedefAlias/TypedefAlias.log index 54e7d6720b..094ff7a35e 100644 --- a/tests/TypedefAlias/TypedefAlias.log +++ b/tests/TypedefAlias/TypedefAlias.log @@ -434,6 +434,5 @@ design: (work@test) [WARNING] : 3 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypedefAlias/dut.sv | ${SURELOG_DIR}/build/regression/TypedefAlias/roundtrip/dut_000.sv | 6 | 20 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypedefAlias/dut.sv | ${SURELOG_DIR}/build/regression/TypedefAlias/roundtrip/dut_000.sv | 6 | 20 | \ No newline at end of file diff --git a/tests/TypedefPack/TypedefPack.log b/tests/TypedefPack/TypedefPack.log index 9694dd6ab3..491ffaff26 100644 --- a/tests/TypedefPack/TypedefPack.log +++ b/tests/TypedefPack/TypedefPack.log @@ -790,6 +790,5 @@ design: (work@prim_lc_sender) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypedefPack/dut.sv | ${SURELOG_DIR}/build/regression/TypedefPack/roundtrip/dut_000.sv | 7 | 15 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypedefPack/dut.sv | ${SURELOG_DIR}/build/regression/TypedefPack/roundtrip/dut_000.sv | 7 | 15 | \ No newline at end of file diff --git a/tests/TypedefRange/TypedefRange.log b/tests/TypedefRange/TypedefRange.log index 4dd1529a59..0ae0d8661f 100644 --- a/tests/TypedefRange/TypedefRange.log +++ b/tests/TypedefRange/TypedefRange.log @@ -231,6 +231,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypedefRange/dut.sv | ${SURELOG_DIR}/build/regression/TypedefRange/roundtrip/dut_000.sv | 1 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypedefRange/dut.sv | ${SURELOG_DIR}/build/regression/TypedefRange/roundtrip/dut_000.sv | 1 | 6 | \ No newline at end of file diff --git a/tests/TypedefUnpacked/TypedefUnpacked.log b/tests/TypedefUnpacked/TypedefUnpacked.log index ca0900d751..da212b0170 100644 --- a/tests/TypedefUnpacked/TypedefUnpacked.log +++ b/tests/TypedefUnpacked/TypedefUnpacked.log @@ -424,6 +424,5 @@ design: (work@dut) [WARNING] : 2 [ NOTE] : 7 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypedefUnpacked/dut.sv | ${SURELOG_DIR}/build/regression/TypedefUnpacked/roundtrip/dut_000.sv | 4 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypedefUnpacked/dut.sv | ${SURELOG_DIR}/build/regression/TypedefUnpacked/roundtrip/dut_000.sv | 4 | 12 | \ No newline at end of file diff --git a/tests/Typename/Typename.log b/tests/Typename/Typename.log index c7e05c3c50..458c1fdf5e 100644 --- a/tests/Typename/Typename.log +++ b/tests/Typename/Typename.log @@ -1276,7 +1276,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Typename/builtin.sv | ${SURELOG_DIR}/build/regression/Typename/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Typename/dut.sv | ${SURELOG_DIR}/build/regression/Typename/roundtrip/dut_000.sv | 2 | 9 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Typename/dut.sv | ${SURELOG_DIR}/build/regression/Typename/roundtrip/dut_000.sv | 2 | 9 | \ No newline at end of file diff --git a/tests/TypespecExpr/TypespecExpr.log b/tests/TypespecExpr/TypespecExpr.log index 925b230e71..67bd2fce9f 100644 --- a/tests/TypespecExpr/TypespecExpr.log +++ b/tests/TypespecExpr/TypespecExpr.log @@ -956,6 +956,5 @@ design: (work@Mod2) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypespecExpr/dut.sv | ${SURELOG_DIR}/build/regression/TypespecExpr/roundtrip/dut_000.sv | 4 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypespecExpr/dut.sv | ${SURELOG_DIR}/build/regression/TypespecExpr/roundtrip/dut_000.sv | 4 | 10 | \ No newline at end of file diff --git a/tests/TypespecMask/TypespecMask.log b/tests/TypespecMask/TypespecMask.log index 1f64cf1193..7c890d444f 100644 --- a/tests/TypespecMask/TypespecMask.log +++ b/tests/TypespecMask/TypespecMask.log @@ -511,6 +511,5 @@ design: (work@flash_ctrl_erase) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/TypespecMask/dut.sv | ${SURELOG_DIR}/build/regression/TypespecMask/roundtrip/dut_000.sv | 1 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/TypespecMask/dut.sv | ${SURELOG_DIR}/build/regression/TypespecMask/roundtrip/dut_000.sv | 1 | 8 | \ No newline at end of file diff --git a/tests/Udp/Udp.log b/tests/Udp/Udp.log index 32e3f57bb7..1e4c9efe82 100644 --- a/tests/Udp/Udp.log +++ b/tests/Udp/Udp.log @@ -2798,50 +2798,3 @@ design: (work@udp_body_tb) [ ERROR] : 0 [WARNING] : 5 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:13:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:14:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:15:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:1:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:29:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:30:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:31:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:21:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:46:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:47:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:48:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:49:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:51:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:53:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:37:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:72:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:73:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:74:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:75:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:77:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:79:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:60:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:92:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:95:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:96:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:97:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:98:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:99:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:100:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:101:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:102:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:103:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:89:1: Non synthesizable construct, udp -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:92:3: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:95:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:96:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:97:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:98:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:99:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:100:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:101:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:102:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:103:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/Udp/dut.sv:89:1: Non synthesizable construct, udp -============================== End Linting Results ============================== diff --git a/tests/UnaryPlus/UnaryPlus.log b/tests/UnaryPlus/UnaryPlus.log index dbd48e6318..bc61083795 100644 --- a/tests/UnaryPlus/UnaryPlus.log +++ b/tests/UnaryPlus/UnaryPlus.log @@ -440,6 +440,5 @@ design: (work@alert_handler_reg_wrap) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnaryPlus/dut.sv | ${SURELOG_DIR}/build/regression/UnaryPlus/roundtrip/dut_000.sv | 2 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnaryPlus/dut.sv | ${SURELOG_DIR}/build/regression/UnaryPlus/roundtrip/dut_000.sv | 2 | 4 | \ No newline at end of file diff --git a/tests/UndersVal/UndersVal.log b/tests/UndersVal/UndersVal.log index 97cd3dcbf2..541b682075 100644 --- a/tests/UndersVal/UndersVal.log +++ b/tests/UndersVal/UndersVal.log @@ -1211,7 +1211,6 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/UndersVal/builtin.sv | ${SURELOG_DIR}/build/regression/UndersVal/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UndersVal/dut.sv | ${SURELOG_DIR}/build/regression/UndersVal/roundtrip/dut_000.sv | 0 | 12 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UndersVal/dut.sv | ${SURELOG_DIR}/build/regression/UndersVal/roundtrip/dut_000.sv | 0 | 12 | \ No newline at end of file diff --git a/tests/UnionCast/UnionCast.log b/tests/UnionCast/UnionCast.log index e4a9a7d578..e98820fa5d 100644 --- a/tests/UnionCast/UnionCast.log +++ b/tests/UnionCast/UnionCast.log @@ -13024,6 +13024,5 @@ design: (work@r5p_lsu) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnionCast/dut.sv | ${SURELOG_DIR}/build/regression/UnionCast/roundtrip/dut_000.sv | 39 | 166 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnionCast/dut.sv | ${SURELOG_DIR}/build/regression/UnionCast/roundtrip/dut_000.sv | 39 | 166 | \ No newline at end of file diff --git a/tests/UnitClass/UnitClass.log b/tests/UnitClass/UnitClass.log index 6b04509f4d..5a04f6ffc1 100644 --- a/tests/UnitClass/UnitClass.log +++ b/tests/UnitClass/UnitClass.log @@ -695,13 +695,6 @@ unsupported_typespec 1 [WARNING] : 8 [ NOTE] : 6 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitClass/top.v:14:4: Non synthesizable construct, B -[LINT]: ${SURELOG_DIR}/tests/UnitClass/top.v:6:4: Non synthesizable construct, A -[LINT]: ${SURELOG_DIR}/tests/UnitClass/top.v:3:4: Non synthesizable construct, C -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/UnitClass/builtin.sv | ${SURELOG_DIR}/build/regression/UnitClass/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitClass/top.v | ${SURELOG_DIR}/build/regression/UnitClass/roundtrip/top_000.v | 25 | 45 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitClass/top.v | ${SURELOG_DIR}/build/regression/UnitClass/roundtrip/top_000.v | 25 | 45 | \ No newline at end of file diff --git a/tests/UnitConcat/UnitConcat.log b/tests/UnitConcat/UnitConcat.log index 0c2cd567d1..7a40ec983d 100644 --- a/tests/UnitConcat/UnitConcat.log +++ b/tests/UnitConcat/UnitConcat.log @@ -146,6 +146,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnitConcat/top.sv | ${SURELOG_DIR}/build/regression/UnitConcat/roundtrip/top_000.sv | 2 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnitConcat/top.sv | ${SURELOG_DIR}/build/regression/UnitConcat/roundtrip/top_000.sv | 2 | 8 | \ No newline at end of file diff --git a/tests/UnitElab/UnitElab.log b/tests/UnitElab/UnitElab.log index ce6c1f3b7f..49ba7b60fd 100644 --- a/tests/UnitElab/UnitElab.log +++ b/tests/UnitElab/UnitElab.log @@ -62191,7 +62191,3 @@ design: (work@bottom1) [ ERROR] : 1 [WARNING] : 1213 [ NOTE] : 2465 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitElab/top.v:3:2: Non synthesizable construct, u2 -============================== End Linting Results ============================== diff --git a/tests/UnitElabBlock/UnitElabBlock.log b/tests/UnitElabBlock/UnitElabBlock.log index 5baf1885fd..1776abcc92 100644 --- a/tests/UnitElabBlock/UnitElabBlock.log +++ b/tests/UnitElabBlock/UnitElabBlock.log @@ -618,12 +618,3 @@ task 18 [ ERROR] : 0 [WARNING] : 3 [ NOTE] : 14 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitElabBlock/top.v:33:1: Non synthesizable construct, innerwave -[LINT]: ${SURELOG_DIR}/tests/UnitElabBlock/top.v:32:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitElabBlock/top.v:37:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitElabBlock/top.v:33:1: Non synthesizable construct, innerwave -[LINT]: ${SURELOG_DIR}/tests/UnitElabBlock/top.v:32:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitElabBlock/top.v:37:1: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/UnitElabExternNested/UnitElabExternNested.log b/tests/UnitElabExternNested/UnitElabExternNested.log index bbe53a34cb..328c25a694 100644 --- a/tests/UnitElabExternNested/UnitElabExternNested.log +++ b/tests/UnitElabExternNested/UnitElabExternNested.log @@ -109,8 +109,3 @@ void_typespec 2 [ ERROR] : 0 [WARNING] : 8 [ NOTE] : 8 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitElabExternNested/middle.v:7:1: Unsupported typespec, type -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/tests/UnitEnum/UnitEnum.log b/tests/UnitEnum/UnitEnum.log index 53e75661dd..7498a0f2a7 100644 --- a/tests/UnitEnum/UnitEnum.log +++ b/tests/UnitEnum/UnitEnum.log @@ -1239,14 +1239,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitEnum/top.v:3:1: Non synthesizable construct, Configuration1 -[LINT]: ${SURELOG_DIR}/tests/UnitEnum/top.v:10:1: Non synthesizable construct, work@Configuration2 -[LINT]: ${SURELOG_DIR}/tests/UnitEnum/top.v:21:1: Unsupported typespec, Environment -[LINT]: \_ ${SURELOG_DIR}/tests/UnitEnum/top.v:21:13: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/UnitEnum/builtin.sv | ${SURELOG_DIR}/build/regression/UnitEnum/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitEnum/top.v | ${SURELOG_DIR}/build/regression/UnitEnum/roundtrip/top_000.v | 7 | 24 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitEnum/top.v | ${SURELOG_DIR}/build/regression/UnitEnum/roundtrip/top_000.v | 7 | 24 | \ No newline at end of file diff --git a/tests/UnitForLoop/UnitForLoop.log b/tests/UnitForLoop/UnitForLoop.log index 8cc8afff80..d445db35bf 100644 --- a/tests/UnitForLoop/UnitForLoop.log +++ b/tests/UnitForLoop/UnitForLoop.log @@ -766,10 +766,5 @@ design: (unnamed) [WARNING] : 0 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitForLoop/top.v:1:1: Non synthesizable construct, work@top -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnitForLoop/top.v | ${SURELOG_DIR}/build/regression/UnitForLoop/roundtrip/top_000.v | 4 | 29 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitForLoop/top.v | ${SURELOG_DIR}/build/regression/UnitForLoop/roundtrip/top_000.v | 4 | 29 | \ No newline at end of file diff --git a/tests/UnitForeach/UnitForeach.log b/tests/UnitForeach/UnitForeach.log index 4cb5dd7360..258175e40e 100644 --- a/tests/UnitForeach/UnitForeach.log +++ b/tests/UnitForeach/UnitForeach.log @@ -2339,25 +2339,7 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:6:11: Non synthesizable construct, do_print -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:7:68: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:7:13: Non synthesizable construct, print_array_header -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:10:60: Non synthesizable construct, name -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:9:15: Non synthesizable construct, print_string -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:6:11: Non synthesizable construct, do_print -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:7:68: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:7:13: Non synthesizable construct, print_array_header -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:10:60: Non synthesizable construct, name -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:9:15: Non synthesizable construct, print_string -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top.v:1:1: Non synthesizable construct, work@moreobj -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top.v:7:1: Non synthesizable construct, work@uvm_reg_map -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:5:47: Unsupported typespec, uvm_printer -[LINT]: ${SURELOG_DIR}/tests/UnitForeach/top2.v:8:14: Unsupported typespec, arb_sequence_q -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/UnitForeach/builtin.sv | ${SURELOG_DIR}/build/regression/UnitForeach/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/UnitForeach/top.v | ${SURELOG_DIR}/build/regression/UnitForeach/roundtrip/top_000.v | 42 | 83 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitForeach/top2.v | ${SURELOG_DIR}/build/regression/UnitForeach/roundtrip/top2_000.v | 5 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitForeach/top2.v | ${SURELOG_DIR}/build/regression/UnitForeach/roundtrip/top2_000.v | 5 | 14 | \ No newline at end of file diff --git a/tests/UnitLibrary/UnitLibrary.log b/tests/UnitLibrary/UnitLibrary.log index 5714b62413..ebe44cce4f 100644 --- a/tests/UnitLibrary/UnitLibrary.log +++ b/tests/UnitLibrary/UnitLibrary.log @@ -300,7 +300,7 @@ task 9 [WARNING] : 16 [ NOTE] : 31 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/builtin.sv | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/builtin_000.sv | 0 | 0 | [roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/gate/adder.vg | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/adder_000.vg | 6 | 11 | [roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/lib1/bot.sv | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/bot_000.sv | 1 | 2 | @@ -311,5 +311,4 @@ task 9 [roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/libwconfig/libw2/wsub.v | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/wsub_001.v | 1 | 2 | [roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/libwconfig/wtop.v | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/wtop_000.v | 4 | 5 | [roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/rtl/adder.v | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/adder_000.v | 3 | 5 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/top.v | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/top_000.v | 5 | 11 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitLibrary/top.v | ${SURELOG_DIR}/build/regression/UnitLibrary/roundtrip/top_000.v | 5 | 11 | \ No newline at end of file diff --git a/tests/UnitPackage/UnitPackage.log b/tests/UnitPackage/UnitPackage.log index 7b7312b485..10758a49be 100644 --- a/tests/UnitPackage/UnitPackage.log +++ b/tests/UnitPackage/UnitPackage.log @@ -5785,12 +5785,3 @@ design: (work@simple_package) [ ERROR] : 0 [WARNING] : 6 [ NOTE] : 9 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitPackage/simple_pkg.sv:22:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitPackage/simple_pkg.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitPackage/simple_pkg.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitPackage/simple_pkg.sv:22:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitPackage/simple_pkg.sv:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitPackage/simple_pkg.sv:27:5: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/UnitPython/UnitPython.log b/tests/UnitPython/UnitPython.log index 1fd0a5388d..24cf5ffd43 100644 --- a/tests/UnitPython/UnitPython.log +++ b/tests/UnitPython/UnitPython.log @@ -467,14 +467,6 @@ unsupported_typespec 1 [WARNING] : 3 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitPython/top.v:3:1: Non synthesizable construct, Configuration1 -[LINT]: ${SURELOG_DIR}/tests/UnitPython/top.v:10:1: Non synthesizable construct, work@Configuration2 -[LINT]: ${SURELOG_DIR}/tests/UnitPython/top.v:25:1: Unsupported typespec, Environment -[LINT]: \_ ${SURELOG_DIR}/tests/UnitPython/top.v:25:13: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/UnitPython/builtin.sv | ${SURELOG_DIR}/build/regression/UnitPython/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitPython/top.v | ${SURELOG_DIR}/build/regression/UnitPython/roundtrip/top_000.v | 8 | 28 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitPython/top.v | ${SURELOG_DIR}/build/regression/UnitPython/roundtrip/top_000.v | 8 | 28 | \ No newline at end of file diff --git a/tests/UnitQueue/UnitQueue.log b/tests/UnitQueue/UnitQueue.log index 531b6eb826..5ac0b33dd9 100644 --- a/tests/UnitQueue/UnitQueue.log +++ b/tests/UnitQueue/UnitQueue.log @@ -516,20 +516,6 @@ task 9 [WARNING] : 0 [ NOTE] : 4 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:2:1: Non synthesizable construct, work@c1 -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:12:8: Non synthesizable construct, atoi -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:13:8: Non synthesizable construct, atoiq -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:14:5: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:15:5: Non synthesizable construct, toto -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:16:9: Non synthesizable construct, f -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:17:9: Non synthesizable construct, atoiq -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:18:6: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:19:6: Non synthesizable construct, toto -[LINT]: ${SURELOG_DIR}/tests/UnitQueue/top.v:7:1: Non synthesizable construct, work@c2 -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/UnitQueue/builtin.sv | ${SURELOG_DIR}/build/regression/UnitQueue/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitQueue/top.v | ${SURELOG_DIR}/build/regression/UnitQueue/roundtrip/top_000.v | 6 | 22 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitQueue/top.v | ${SURELOG_DIR}/build/regression/UnitQueue/roundtrip/top_000.v | 6 | 22 | \ No newline at end of file diff --git a/tests/UnitSVA/UnitSVA.log b/tests/UnitSVA/UnitSVA.log index 88d9867023..3717e2b945 100644 --- a/tests/UnitSVA/UnitSVA.log +++ b/tests/UnitSVA/UnitSVA.log @@ -1760,32 +1760,3 @@ design: (work@top) [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:3:41: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:3:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:5:39: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:5:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:7:43: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:7:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:9:37: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:9:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:11:37: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:11:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:14:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:18:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:22:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:3:41: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:3:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:5:39: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:5:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:7:43: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:7:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:9:37: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:9:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:11:37: Non synthesizable construct, $past -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:11:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:14:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:18:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/UnitSVA/dut.sv:22:7: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/tests/UnitTest/UnitTest.log b/tests/UnitTest/UnitTest.log index 9239d41a19..163d59e8a8 100644 --- a/tests/UnitTest/UnitTest.log +++ b/tests/UnitTest/UnitTest.log @@ -2685,25 +2685,5 @@ design: (work@tb_operators) [WARNING] : 4 [ NOTE] : 8 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:11:5: Illegal wire LHS, shifter -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:13:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:14:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:15:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:16:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:17:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:19:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:20:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:32:5: Illegal wire LHS, result -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:34:5: Illegal wire LHS, shifter -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:35:5: Illegal wire LHS, shifter -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:54:1: Non synthesizable construct, work@DD2 -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:10:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:10:5: Non synthesizable construct, $monitor -[LINT]: ${SURELOG_DIR}/tests/UnitTest/top.v:59:1: Unsupported typespec, DD1 -[LINT]: \_ ${SURELOG_DIR}/tests/UnitTest/top.v:59:5: -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnitTest/top.v | ${SURELOG_DIR}/build/regression/UnitTest/roundtrip/top_000.v | 11 | 67 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitTest/top.v | ${SURELOG_DIR}/build/regression/UnitTest/roundtrip/top_000.v | 11 | 67 | \ No newline at end of file diff --git a/tests/UnitThisNew/UnitThisNew.log b/tests/UnitThisNew/UnitThisNew.log index 97525648be..0d1cf17828 100644 --- a/tests/UnitThisNew/UnitThisNew.log +++ b/tests/UnitThisNew/UnitThisNew.log @@ -3126,7 +3126,6 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/UnitThisNew/builtin.sv | ${SURELOG_DIR}/build/regression/UnitThisNew/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UnitThisNew/dut.sv | ${SURELOG_DIR}/build/regression/UnitThisNew/roundtrip/dut_000.sv | 16 | 44 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnitThisNew/dut.sv | ${SURELOG_DIR}/build/regression/UnitThisNew/roundtrip/dut_000.sv | 16 | 44 | \ No newline at end of file diff --git a/tests/UnpackPort/UnpackPort.log b/tests/UnpackPort/UnpackPort.log index ca0c2575dd..8cdfc98a80 100644 --- a/tests/UnpackPort/UnpackPort.log +++ b/tests/UnpackPort/UnpackPort.log @@ -2825,7 +2825,6 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/UnpackPort/builtin.sv | ${SURELOG_DIR}/build/regression/UnpackPort/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UnpackPort/dut.sv | ${SURELOG_DIR}/build/regression/UnpackPort/roundtrip/dut_000.sv | 6 | 11 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnpackPort/dut.sv | ${SURELOG_DIR}/build/regression/UnpackPort/roundtrip/dut_000.sv | 6 | 11 | \ No newline at end of file diff --git a/tests/UnpackedTypespec/UnpackedTypespec.log b/tests/UnpackedTypespec/UnpackedTypespec.log index c0931f01b3..de6f2f3bdd 100644 --- a/tests/UnpackedTypespec/UnpackedTypespec.log +++ b/tests/UnpackedTypespec/UnpackedTypespec.log @@ -732,6 +732,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnpackedTypespec/dut.sv | ${SURELOG_DIR}/build/regression/UnpackedTypespec/roundtrip/dut_000.sv | 6 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnpackedTypespec/dut.sv | ${SURELOG_DIR}/build/regression/UnpackedTypespec/roundtrip/dut_000.sv | 6 | 23 | \ No newline at end of file diff --git a/tests/UnsignedParam/UnsignedParam.log b/tests/UnsignedParam/UnsignedParam.log index 09531e6ea6..3e7316a0e2 100644 --- a/tests/UnsignedParam/UnsignedParam.log +++ b/tests/UnsignedParam/UnsignedParam.log @@ -815,6 +815,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsignedParam/dut.sv | ${SURELOG_DIR}/build/regression/UnsignedParam/roundtrip/dut_000.sv | 7 | 13 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnsignedParam/dut.sv | ${SURELOG_DIR}/build/regression/UnsignedParam/roundtrip/dut_000.sv | 7 | 13 | \ No newline at end of file diff --git a/tests/UnsizeConstExpr/UnsizeConstExpr.log b/tests/UnsizeConstExpr/UnsizeConstExpr.log index f5a84c30d8..0db9609ce6 100644 --- a/tests/UnsizeConstExpr/UnsizeConstExpr.log +++ b/tests/UnsizeConstExpr/UnsizeConstExpr.log @@ -366,6 +366,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsizeConstExpr/dut.sv | ${SURELOG_DIR}/build/regression/UnsizeConstExpr/roundtrip/dut_000.sv | 1 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnsizeConstExpr/dut.sv | ${SURELOG_DIR}/build/regression/UnsizeConstExpr/roundtrip/dut_000.sv | 1 | 7 | \ No newline at end of file diff --git a/tests/Unsized/Unsized.log b/tests/Unsized/Unsized.log index a2b9804c6b..a69d8deeb7 100644 --- a/tests/Unsized/Unsized.log +++ b/tests/Unsized/Unsized.log @@ -394,6 +394,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Unsized/dut.sv | ${SURELOG_DIR}/build/regression/Unsized/roundtrip/dut_000.sv | 4 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Unsized/dut.sv | ${SURELOG_DIR}/build/regression/Unsized/roundtrip/dut_000.sv | 4 | 6 | \ No newline at end of file diff --git a/tests/UnsizedArray/UnsizedArray.log b/tests/UnsizedArray/UnsizedArray.log index 53e6fdb948..fbbcb345cd 100644 --- a/tests/UnsizedArray/UnsizedArray.log +++ b/tests/UnsizedArray/UnsizedArray.log @@ -197,6 +197,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsizedArray/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedArray/roundtrip/dut_000.sv | 1 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnsizedArray/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedArray/roundtrip/dut_000.sv | 1 | 4 | \ No newline at end of file diff --git a/tests/UnsizedElabComp/UnsizedElabComp.log b/tests/UnsizedElabComp/UnsizedElabComp.log index 161b150fc9..fe541ba937 100644 --- a/tests/UnsizedElabComp/UnsizedElabComp.log +++ b/tests/UnsizedElabComp/UnsizedElabComp.log @@ -712,6 +712,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsizedElabComp/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedElabComp/roundtrip/dut_000.sv | 12 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnsizedElabComp/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedElabComp/roundtrip/dut_000.sv | 12 | 23 | \ No newline at end of file diff --git a/tests/UnsizedPacked/UnsizedPacked.log b/tests/UnsizedPacked/UnsizedPacked.log index 3f400fc738..b722d2b3df 100644 --- a/tests/UnsizedPacked/UnsizedPacked.log +++ b/tests/UnsizedPacked/UnsizedPacked.log @@ -248,11 +248,5 @@ design: (work@test) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/UnsizedPacked/dut.sv:5:5: Illegal Packed dimension, illegal -[LINT]: ${SURELOG_DIR}/tests/UnsizedPacked/dut.sv:5:5: Illegal Packed dimension, illegal -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsizedPacked/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedPacked/roundtrip/dut_000.sv | 2 | 7 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/UnsizedPacked/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedPacked/roundtrip/dut_000.sv | 2 | 7 | \ No newline at end of file diff --git a/tests/UnsizedParam/UnsizedParam.log b/tests/UnsizedParam/UnsizedParam.log index e0dbcc2ee5..3ebe052b78 100644 --- a/tests/UnsizedParam/UnsizedParam.log +++ b/tests/UnsizedParam/UnsizedParam.log @@ -924,6 +924,5 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsizedParam/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedParam/roundtrip/dut_000.sv | 4 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnsizedParam/dut.sv | ${SURELOG_DIR}/build/regression/UnsizedParam/roundtrip/dut_000.sv | 4 | 11 | \ No newline at end of file diff --git a/tests/UnsupportedTypespecRange/UnsupportedTypespecRange.log b/tests/UnsupportedTypespecRange/UnsupportedTypespecRange.log index d1352c2855..945d771d35 100644 --- a/tests/UnsupportedTypespecRange/UnsupportedTypespecRange.log +++ b/tests/UnsupportedTypespecRange/UnsupportedTypespecRange.log @@ -287,6 +287,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnsupportedTypespecRange/dut.sv | ${SURELOG_DIR}/build/regression/UnsupportedTypespecRange/roundtrip/dut_000.sv | 2 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/UnsupportedTypespecRange/dut.sv | ${SURELOG_DIR}/build/regression/UnsupportedTypespecRange/roundtrip/dut_000.sv | 2 | 11 | \ No newline at end of file diff --git a/tests/Value4States/Value4States.log b/tests/Value4States/Value4States.log index 60cf58c43f..fad8c67b75 100644 --- a/tests/Value4States/Value4States.log +++ b/tests/Value4States/Value4States.log @@ -380,6 +380,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Value4States/dut.sv | ${SURELOG_DIR}/build/regression/Value4States/roundtrip/dut_000.sv | 2 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Value4States/dut.sv | ${SURELOG_DIR}/build/regression/Value4States/roundtrip/dut_000.sv | 2 | 4 | \ No newline at end of file diff --git a/tests/ValueSize/ValueSize.log b/tests/ValueSize/ValueSize.log index d74778227e..7556bcb134 100644 --- a/tests/ValueSize/ValueSize.log +++ b/tests/ValueSize/ValueSize.log @@ -449,6 +449,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/ValueSize/dut.sv | ${SURELOG_DIR}/build/regression/ValueSize/roundtrip/dut_000.sv | 1 | 12 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/ValueSize/dut.sv | ${SURELOG_DIR}/build/regression/ValueSize/roundtrip/dut_000.sv | 1 | 12 | \ No newline at end of file diff --git a/tests/Values/Values.log b/tests/Values/Values.log index cf44f12a9d..48724ff15f 100644 --- a/tests/Values/Values.log +++ b/tests/Values/Values.log @@ -1605,7 +1605,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/Values/builtin.sv | ${SURELOG_DIR}/build/regression/Values/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/Values/dut.sv | ${SURELOG_DIR}/build/regression/Values/roundtrip/dut_000.sv | 5 | 7 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/Values/dut.sv | ${SURELOG_DIR}/build/regression/Values/roundtrip/dut_000.sv | 5 | 7 | \ No newline at end of file diff --git a/tests/VarDecl/VarDecl.log b/tests/VarDecl/VarDecl.log index 64ed5a77d9..a424342c35 100644 --- a/tests/VarDecl/VarDecl.log +++ b/tests/VarDecl/VarDecl.log @@ -441,6 +441,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VarDecl/dut.sv | ${SURELOG_DIR}/build/regression/VarDecl/roundtrip/dut_000.sv | 3 | 7 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/VarDecl/dut.sv | ${SURELOG_DIR}/build/regression/VarDecl/roundtrip/dut_000.sv | 3 | 7 | \ No newline at end of file diff --git a/tests/VarDecl2/VarDecl2.log b/tests/VarDecl2/VarDecl2.log index b853cc6e9e..ed3365e6bb 100644 --- a/tests/VarDecl2/VarDecl2.log +++ b/tests/VarDecl2/VarDecl2.log @@ -536,6 +536,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VarDecl2/dut.sv | ${SURELOG_DIR}/build/regression/VarDecl2/roundtrip/dut_000.sv | 5 | 11 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/VarDecl2/dut.sv | ${SURELOG_DIR}/build/regression/VarDecl2/roundtrip/dut_000.sv | 5 | 11 | \ No newline at end of file diff --git a/tests/VarInFunc/VarInFunc.log b/tests/VarInFunc/VarInFunc.log index 0ec3f4c3b8..e3573059d5 100644 --- a/tests/VarInFunc/VarInFunc.log +++ b/tests/VarInFunc/VarInFunc.log @@ -1625,7 +1625,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 6 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/VarInFunc/builtin.sv | ${SURELOG_DIR}/build/regression/VarInFunc/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/VarInFunc/dut.sv | ${SURELOG_DIR}/build/regression/VarInFunc/roundtrip/dut_000.sv | 5 | 9 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/VarInFunc/dut.sv | ${SURELOG_DIR}/build/regression/VarInFunc/roundtrip/dut_000.sv | 5 | 9 | \ No newline at end of file diff --git a/tests/VarRangeTypedef/VarRangeTypedef.log b/tests/VarRangeTypedef/VarRangeTypedef.log index e7124aca83..3d40951bfa 100644 --- a/tests/VarRangeTypedef/VarRangeTypedef.log +++ b/tests/VarRangeTypedef/VarRangeTypedef.log @@ -254,6 +254,5 @@ design: (unnamed) [WARNING] : 1 [ NOTE] : 4 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VarRangeTypedef/dut.sv | ${SURELOG_DIR}/build/regression/VarRangeTypedef/roundtrip/dut_000.sv | 1 | 6 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/VarRangeTypedef/dut.sv | ${SURELOG_DIR}/build/regression/VarRangeTypedef/roundtrip/dut_000.sv | 1 | 6 | \ No newline at end of file diff --git a/tests/VarSelect/VarSelect.log b/tests/VarSelect/VarSelect.log index 70bb456497..b39bc3b441 100644 --- a/tests/VarSelect/VarSelect.log +++ b/tests/VarSelect/VarSelect.log @@ -1702,7 +1702,6 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/VarSelect/builtin.sv | ${SURELOG_DIR}/build/regression/VarSelect/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/VarSelect/dut.sv | ${SURELOG_DIR}/build/regression/VarSelect/roundtrip/dut_000.sv | 2 | 14 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/VarSelect/dut.sv | ${SURELOG_DIR}/build/regression/VarSelect/roundtrip/dut_000.sv | 2 | 14 | \ No newline at end of file diff --git a/tests/VarSelectGenStmt/VarSelectGenStmt.log b/tests/VarSelectGenStmt/VarSelectGenStmt.log index 4aabe4091f..1ea01c9aca 100644 --- a/tests/VarSelectGenStmt/VarSelectGenStmt.log +++ b/tests/VarSelectGenStmt/VarSelectGenStmt.log @@ -1916,6 +1916,5 @@ design: (work@aes_sub_bytes) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VarSelectGenStmt/dut.sv | ${SURELOG_DIR}/build/regression/VarSelectGenStmt/roundtrip/dut_000.sv | 16 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/VarSelectGenStmt/dut.sv | ${SURELOG_DIR}/build/regression/VarSelectGenStmt/roundtrip/dut_000.sv | 16 | 23 | \ No newline at end of file diff --git a/tests/VarType/VarType.log b/tests/VarType/VarType.log index 9b11d040d6..18f8e91a7a 100644 --- a/tests/VarType/VarType.log +++ b/tests/VarType/VarType.log @@ -258,17 +258,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:2:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:3:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:2:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:2:6: Non synthesizable construct, a -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:3:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:3:6: Non synthesizable construct, b -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:4:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/tests/VarType/dut.sv:4:15: Non synthesizable construct, c -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VarType/dut.sv | ${SURELOG_DIR}/build/regression/VarType/roundtrip/dut_000.sv | 3 | 5 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/VarType/dut.sv | ${SURELOG_DIR}/build/regression/VarType/roundtrip/dut_000.sv | 3 | 5 | \ No newline at end of file diff --git a/tests/VirtualClass/VirtualClass.log b/tests/VirtualClass/VirtualClass.log index 66aae985bc..6f7438bec4 100644 --- a/tests/VirtualClass/VirtualClass.log +++ b/tests/VirtualClass/VirtualClass.log @@ -761,10 +761,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/VirtualClass/dut.sv:1:9: Non synthesizable construct, work@C -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VirtualClass/dut.sv | ${SURELOG_DIR}/build/regression/VirtualClass/roundtrip/dut_000.sv | 5 | 15 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/VirtualClass/dut.sv | ${SURELOG_DIR}/build/regression/VirtualClass/roundtrip/dut_000.sv | 5 | 15 | \ No newline at end of file diff --git a/tests/VoidFuncReturn/VoidFuncReturn.log b/tests/VoidFuncReturn/VoidFuncReturn.log index 27b8f56232..665700d2dd 100644 --- a/tests/VoidFuncReturn/VoidFuncReturn.log +++ b/tests/VoidFuncReturn/VoidFuncReturn.log @@ -444,11 +444,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/tests/VoidFuncReturn/dut.sv:5:8: Return value void function, add -[LINT]: ${SURELOG_DIR}/tests/VoidFuncReturn/dut.sv:5:8: Return value void function, add -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/VoidFuncReturn/dut.sv | ${SURELOG_DIR}/build/regression/VoidFuncReturn/roundtrip/dut_000.sv | 1 | 11 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/VoidFuncReturn/dut.sv | ${SURELOG_DIR}/build/regression/VoidFuncReturn/roundtrip/dut_000.sv | 1 | 11 | \ No newline at end of file diff --git a/tests/Wand/Wand.log b/tests/Wand/Wand.log index 4923411f82..3cb06bfaea 100644 --- a/tests/Wand/Wand.log +++ b/tests/Wand/Wand.log @@ -273,6 +273,5 @@ design: (work@test_wand_wor) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/Wand/dut.sv | ${SURELOG_DIR}/build/regression/Wand/roundtrip/dut_000.sv | 3 | 10 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/Wand/dut.sv | ${SURELOG_DIR}/build/regression/Wand/roundtrip/dut_000.sv | 3 | 10 | \ No newline at end of file diff --git a/tests/WildConn/WildConn.log b/tests/WildConn/WildConn.log index 96931e4301..6eb2e0c8ac 100644 --- a/tests/WildConn/WildConn.log +++ b/tests/WildConn/WildConn.log @@ -1443,7 +1443,6 @@ design: (work@top) [WARNING] : 2 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/tests/WildConn/builtin.sv | ${SURELOG_DIR}/build/regression/WildConn/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/WildConn/dut.sv | ${SURELOG_DIR}/build/regression/WildConn/roundtrip/dut_000.sv | 9 | 17 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/tests/WildConn/dut.sv | ${SURELOG_DIR}/build/regression/WildConn/roundtrip/dut_000.sv | 9 | 17 | \ No newline at end of file diff --git a/tests/WireLogicSize/WireLogicSize.log b/tests/WireLogicSize/WireLogicSize.log index 499597cf02..58bfdc9147 100644 --- a/tests/WireLogicSize/WireLogicSize.log +++ b/tests/WireLogicSize/WireLogicSize.log @@ -622,6 +622,5 @@ design: (work@TestFunction) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/WireLogicSize/dut.sv | ${SURELOG_DIR}/build/regression/WireLogicSize/roundtrip/dut_000.sv | 18 | 23 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/WireLogicSize/dut.sv | ${SURELOG_DIR}/build/regression/WireLogicSize/roundtrip/dut_000.sv | 18 | 23 | \ No newline at end of file diff --git a/tests/WireUnpacked/WireUnpacked.log b/tests/WireUnpacked/WireUnpacked.log index 3ecdf8c428..b8935ee46d 100644 --- a/tests/WireUnpacked/WireUnpacked.log +++ b/tests/WireUnpacked/WireUnpacked.log @@ -380,6 +380,5 @@ design: (work@dut) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/WireUnpacked/dut.sv | ${SURELOG_DIR}/build/regression/WireUnpacked/roundtrip/dut_000.sv | 3 | 4 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/WireUnpacked/dut.sv | ${SURELOG_DIR}/build/regression/WireUnpacked/roundtrip/dut_000.sv | 3 | 4 | \ No newline at end of file diff --git a/tests/XValue/XValue.log b/tests/XValue/XValue.log index 52ea41963d..b6ffe4f2ee 100644 --- a/tests/XValue/XValue.log +++ b/tests/XValue/XValue.log @@ -475,6 +475,5 @@ design: (work@top) [WARNING] : 1 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/XValue/dut.sv | ${SURELOG_DIR}/build/regression/XValue/roundtrip/dut_000.sv | 1 | 8 | -============================== End RoundTrip Results ============================== + +[roundtrip]: ${SURELOG_DIR}/tests/XValue/dut.sv | ${SURELOG_DIR}/build/regression/XValue/roundtrip/dut_000.sv | 1 | 8 | \ No newline at end of file diff --git a/third_party/UHDM b/third_party/UHDM index 47359c8614..76c8000385 160000 --- a/third_party/UHDM +++ b/third_party/UHDM @@ -1 +1 @@ -Subproject commit 47359c8614673448af97556591ab54c4cbfb5bd5 +Subproject commit 76c80003851d0b0e6223f3b97cabcb39382a477e diff --git a/third_party/tests/AVLMM/AVLMM.log b/third_party/tests/AVLMM/AVLMM.log index 7d74f1ecf4..43a4efae2d 100644 --- a/third_party/tests/AVLMM/AVLMM.log +++ b/third_party/tests/AVLMM/AVLMM.log @@ -126,39 +126,3 @@ wait_stmt 1 [ ERROR] : 4 [WARNING] : 4 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/tb.sv:20:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:301:24: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:302:24: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:330:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:336:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:339:36: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:349:20: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:381:51: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:383:50: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:395:46: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:397:45: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:438:52: Non synthesizable construct, delete -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:444:59: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:450:51: Non synthesizable construct, delete -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:456:58: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:492:13: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:498:13: Non synthesizable construct, assert_fail -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:512:30: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:525:49: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:261:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:202:42: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:124:32: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:136:39: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:202:42: Non synthesizable construct, pop_front -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:124:32: Non synthesizable construct, $urandom_range -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:136:39: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:270:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AVLMM/test_program.sv:261:7: Non synthesizable construct, assert_fail -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -============================== End Linting Results ============================== diff --git a/third_party/tests/ApbSlave/ApbSlave.log b/third_party/tests/ApbSlave/ApbSlave.log index b4b2393e24..ec4f0ab633 100644 --- a/third_party/tests/ApbSlave/ApbSlave.log +++ b/third_party/tests/ApbSlave/ApbSlave.log @@ -141,88 +141,3 @@ var_select 2 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 7 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testcase.sv:26:1: Non synthesizable construct, svunit_testcase -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testcase.sv:109:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testcase.sv:134:7: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:103:100: Non synthesizable construct, get_name -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:68:21: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:90:26: Non synthesizable construct, report -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:93:68: Non synthesizable construct, get_results -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:93:50: Non synthesizable construct, find -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:94:22: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:97:37: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:106:23: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:26:1: Non synthesizable construct, svunit_testsuite -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:103:96: Non synthesizable construct, get_name -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:67:18: Non synthesizable construct, push_back -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:80:66: Non synthesizable construct, get_results -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:80:48: Non synthesizable construct, find -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:81:22: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:84:34: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:106:20: Non synthesizable construct, size -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_base.sv:59:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testcase.sv:81:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testcase.sv:81:1: Non synthesizable construct, svunit_testcase::new -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:54:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:54:1: Non synthesizable construct, svunit_testsuite::new -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:53:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:53:1: Non synthesizable construct, svunit_testrunner::new -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_base.sv:26:1: Non synthesizable construct, svunit_base -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testcase.sv:109:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:26:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testrunner.sv:26:1: Non synthesizable construct, svunit_testrunner -[LINT]: ${SURELOG_DIR}/third_party/tests/ApbSlave/testbench.sv:42:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/ApbSlave/testbench.sv:50:15: Non synthesizable construct, setup -[LINT]: ${SURELOG_DIR}/third_party/tests/ApbSlave/testbench.sv:72:15: Non synthesizable construct, teardown -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:148:43: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:154:15: Non synthesizable construct, start -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:168:27: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:169:25: Non synthesizable construct, wait_for_error -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:158:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:174:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:175:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:156:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:178:15: Non synthesizable construct, stop -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:180:19: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:184:15: Non synthesizable construct, update_exit_status -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:148:43: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:154:15: Non synthesizable construct, start -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:168:27: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:169:25: Non synthesizable construct, wait_for_error -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:158:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:174:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:175:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:156:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:178:15: Non synthesizable construct, stop -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:180:19: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:184:15: Non synthesizable construct, update_exit_status -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:148:43: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:154:15: Non synthesizable construct, start -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:168:27: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:169:25: Non synthesizable construct, wait_for_error -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:158:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:174:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:175:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:156:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:178:15: Non synthesizable construct, stop -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:180:19: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:184:15: Non synthesizable construct, update_exit_status -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:148:43: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:154:15: Non synthesizable construct, start -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:168:27: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:169:25: Non synthesizable construct, wait_for_error -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:158:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:174:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:175:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:156:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:178:15: Non synthesizable construct, stop -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:180:19: Non synthesizable construct, get_error_count -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:184:15: Non synthesizable construct, update_exit_status -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_defines.svh:129:3: Non synthesizable construct, run -[LINT]: ${SURELOG_DIR}/third_party/tests/ApbSlave/testbench.sv:241:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/ApbSlave/testbench.sv:32:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:89:11: Unsupported typespec, list_of_testcases -[LINT]: ${SURELOG_DIR}/third_party/UVM/svunit_base/svunit_testsuite.sv:89:11: Unsupported typespec, list_of_testcases -============================== End Linting Results ============================== diff --git a/third_party/tests/AxiInterconnect/AxiInterconnect.log b/third_party/tests/AxiInterconnect/AxiInterconnect.log index 687f819a07..59cf52c6d6 100644 --- a/third_party/tests/AxiInterconnect/AxiInterconnect.log +++ b/third_party/tests/AxiInterconnect/AxiInterconnect.log @@ -152528,7 +152528,6 @@ design: (work@axi_interconnect_wrapper) [WARNING] : 0 [ NOTE] : 5 -============================== Begin RoundTrip Results ============================== + [roundtrip]: ${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v | ${SURELOG_DIR}/build/regression/AxiInterconnect/roundtrip/axi_interconnect_wrapper_000.v | 817 | 1215 | -[roundtrip]: ${SURELOG_DIR}/third_party/tests/AxiInterconnect/builtin.sv | ${SURELOG_DIR}/build/regression/AxiInterconnect/roundtrip/builtin_000.sv | 0 | 0 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/third_party/tests/AxiInterconnect/builtin.sv | ${SURELOG_DIR}/build/regression/AxiInterconnect/roundtrip/builtin_000.sv | 0 | 0 | \ No newline at end of file diff --git a/third_party/tests/AzadiRTL/AzadiRTL.log b/third_party/tests/AzadiRTL/AzadiRTL.log index 34471ca872..e9ef084ddd 100644 --- a/third_party/tests/AzadiRTL/AzadiRTL.log +++ b/third_party/tests/AzadiRTL/AzadiRTL.log @@ -21900,82 +21900,3 @@ var_select 497 [ ERROR] : 0 [WARNING] : 6 [ NOTE] : 5917 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/dm_sba.sv:166:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:57:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:75:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:103:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:111:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:317:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:321:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:325:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:329:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:333:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:337:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:317:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:321:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:325:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:329:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:333:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:337:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:317:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:321:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:325:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:329:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:333:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:337:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:317:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:321:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:325:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:329:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:333:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:337:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:317:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:321:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:325:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:329:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:333:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:337:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/dm_sba.sv:166:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:57:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:75:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:103:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/sky130_sram_4kbyte_1rw1r_32x1024_8.v:111:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:284:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:285:40: Unsupported typespec, DataType -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:284:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:285:40: Unsupported typespec, DataType -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:284:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:285:40: Unsupported typespec, DataType -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:269:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:284:40: Unsupported typespec, idx_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/AzadiRTL/rr_arb_tree.sv:285:40: Unsupported typespec, DataType -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/third_party/tests/Compl1001/Compl1001.log b/third_party/tests/Compl1001/Compl1001.log index 2815eff334..28c1b46f18 100644 --- a/third_party/tests/Compl1001/Compl1001.log +++ b/third_party/tests/Compl1001/Compl1001.log @@ -63,665 +63,6 @@ task 9 [WARNING] : 1 [ NOTE] : 5 -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:533:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:534:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:535:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:536:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:537:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:538:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:539:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:540:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:541:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:542:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:543:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:544:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:545:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:546:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:547:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:548:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:549:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:550:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:551:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:552:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:553:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:554:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:555:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:556:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:557:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:558:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:559:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:560:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:561:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:562:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:563:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:564:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:565:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:566:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:567:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:568:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:569:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:570:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:571:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:572:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:573:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:574:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:575:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:576:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:577:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:578:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:579:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:580:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:581:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:582:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:583:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:584:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:585:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:586:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:587:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:588:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:589:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:590:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:591:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:592:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:593:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:594:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:595:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:596:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:597:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:598:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:599:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:600:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:601:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:602:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:603:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:604:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:605:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:606:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:607:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:608:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:609:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:610:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:611:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:612:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:613:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:614:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:615:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:616:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:617:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:618:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:619:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:620:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:621:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:622:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:623:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:624:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:625:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:626:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:627:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:628:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:629:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:630:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:631:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:632:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:633:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:634:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:635:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:636:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:637:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:638:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:639:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:640:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:641:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:642:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:643:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:644:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:645:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:646:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:647:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:648:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:649:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:650:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:651:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:652:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:653:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:654:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:655:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:656:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:657:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:658:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:659:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:660:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:661:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:662:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:663:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:664:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:665:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:666:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:667:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:668:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:669:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:670:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:671:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:672:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:673:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:674:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:675:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:676:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:677:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:678:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:679:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:680:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:681:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:682:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:683:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:684:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:685:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:686:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:687:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:688:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:689:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:690:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:691:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:692:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:693:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:694:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:695:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:696:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:697:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:698:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:699:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:700:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:701:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:702:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:703:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:704:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:705:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:706:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:707:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:708:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:709:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:710:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:711:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:712:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:713:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:714:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:715:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:716:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:717:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:718:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:719:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:720:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:721:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:722:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:723:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:724:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:725:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:726:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:727:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:728:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:729:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:730:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:731:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:732:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:733:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:734:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:735:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:736:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:737:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:738:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:739:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:740:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:741:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:742:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:743:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:744:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:745:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:746:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:747:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:748:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:749:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:750:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:751:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:752:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:753:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:754:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:755:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:756:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:757:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:758:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:759:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:760:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:761:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:762:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:763:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:764:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:765:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:766:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:767:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:768:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:769:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:770:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:771:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:772:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:773:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:774:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:775:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:776:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:777:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:778:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:779:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:780:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:781:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:782:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:783:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:784:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:785:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:786:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:787:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:788:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:789:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:790:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:791:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:792:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:793:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:794:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:795:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:796:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:797:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:798:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:799:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:800:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:801:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:802:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:803:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:804:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:805:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:806:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:807:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:808:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:809:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:810:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:811:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:812:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:813:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:814:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:815:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:816:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:817:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:818:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:819:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:820:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:821:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:822:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:823:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:824:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:825:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:826:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:827:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:828:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:829:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:830:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:831:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:832:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:833:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:834:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:835:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:836:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:837:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:838:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:839:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:840:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:841:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:842:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:843:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:844:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:845:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:846:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:847:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:848:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:849:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:850:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:851:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:852:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:853:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:854:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:855:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:856:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:857:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:858:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:859:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:860:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:861:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:862:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:863:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:864:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:865:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:866:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:867:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:868:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:869:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:870:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:871:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:872:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:873:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:874:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:875:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:876:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:877:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:878:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:879:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:880:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:881:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:882:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:883:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:884:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:885:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:886:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:887:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:888:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:889:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:890:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:891:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:892:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:893:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:894:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:895:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:896:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:897:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:898:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:899:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:900:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:901:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:902:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:903:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:904:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:905:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:906:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:907:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:908:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:909:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:910:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:911:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:912:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:913:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:914:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:915:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:916:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:917:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:918:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:919:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:920:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:921:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:922:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:923:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:924:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:925:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:926:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:927:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:928:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:929:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:930:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:931:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:932:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:933:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:934:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:935:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:936:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:937:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:938:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:939:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:940:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:941:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:942:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:943:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:944:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:945:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:946:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:947:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:948:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:949:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:950:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:951:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:952:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:953:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:954:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:955:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:956:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:957:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:958:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:959:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:960:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:961:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:962:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:963:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:964:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:965:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:966:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:967:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:968:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:969:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:970:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:971:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:972:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:973:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:974:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:975:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:976:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:977:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:978:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:979:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:980:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:981:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:982:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:983:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:984:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:985:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:986:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:987:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:988:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:989:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:990:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:991:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:992:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:993:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:994:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:995:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:996:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:997:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:998:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:999:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1000:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1001:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1002:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1003:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1004:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1005:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1006:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1007:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1008:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1009:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1010:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1011:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1012:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1013:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1014:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1015:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1016:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1017:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1018:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1019:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1020:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1021:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1022:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1023:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1024:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1025:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1026:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1027:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1028:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1029:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1030:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1031:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1032:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1033:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1034:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1035:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1036:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1037:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1038:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1039:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1040:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1041:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1042:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1043:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1044:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1045:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1046:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1047:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1048:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1049:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1050:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1051:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1052:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1053:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1054:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1055:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1056:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1057:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1058:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1059:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1060:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1061:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1062:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1063:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1064:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1065:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1066:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1067:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1068:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1069:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1070:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1071:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1072:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1073:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1074:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1075:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1076:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1077:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1078:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1079:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1080:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1081:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1082:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1083:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1084:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1085:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1086:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1087:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1088:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1089:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1090:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1091:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1092:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1093:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1094:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1095:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1096:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1097:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1098:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1099:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1100:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1101:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1102:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1103:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1104:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1105:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1106:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1107:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1108:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1109:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1110:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1111:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1112:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1113:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1114:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1115:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1116:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1117:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1118:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1119:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1120:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1121:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1122:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1123:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1124:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1125:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1126:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1127:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1128:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1129:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1130:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1131:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1132:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1133:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1134:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1135:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1136:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1137:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1138:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1139:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1140:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1141:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1142:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1143:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1144:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1145:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1146:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1147:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1148:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1149:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1150:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1151:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1152:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1153:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1154:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1155:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1156:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1157:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1158:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1159:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1160:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1161:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1162:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1163:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1164:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1165:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1166:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1167:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1168:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1169:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1170:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1171:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1172:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1173:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1174:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1175:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1176:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1177:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1178:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1179:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1180:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1181:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1182:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1183:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1184:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1185:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1186:3: Non synthesizable construct, $displayb -[LINT]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v:1187:3: Non synthesizable construct, $displayb -============================== End Linting Results ============================== -============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/third_party/tests/Compl1001/builtin.sv | ${SURELOG_DIR}/build/regression/Compl1001/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v | ${SURELOG_DIR}/build/regression/Compl1001/roundtrip/comp1001_000.v | 661 | 1190 | -============================== End RoundTrip Results ============================== +[roundtrip]: ${SURELOG_DIR}/third_party/tests/Compl1001/comp1001.v | ${SURELOG_DIR}/build/regression/Compl1001/roundtrip/comp1001_000.v | 661 | 1190 | \ No newline at end of file diff --git a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log index d52f90f556..b2742b78f4 100644 --- a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log +++ b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log @@ -11,7 +11,7 @@ CMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required): CMake that the project does not need compatibility with older versions. --- Configuring done (0.2s) +-- Configuring done (0.0s) -- Generating done (0.0s) -- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_parser [100%] Generating preprocessing diff --git a/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log b/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log index 85a70f7054..0afc98768c 100644 --- a/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log +++ b/third_party/tests/Earlgrey_0_1/sim-icarus/Earlgrey_0_1.log @@ -12464,10 +12464,3 @@ var_select 8367 [ ERROR] : 0 [WARNING] : 229 [ NOTE] : 39 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_0_1/src/pulp-platform_riscv-dbg_0.1_0/pulp_riscv_dbg/src/dm_csrs.sv:633:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_0_1/src/pulp-platform_riscv-dbg_0.1_0/pulp_riscv_dbg/src/dm_sba.sv:166:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_0_1/src/pulp-platform_riscv-dbg_0.1_0/pulp_riscv_dbg/src/dm_csrs.sv:633:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_0_1/src/pulp-platform_riscv-dbg_0.1_0/pulp_riscv_dbg/src/dm_sba.sv:166:41: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log b/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log index 23779e2562..6366603aac 100644 --- a/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log +++ b/third_party/tests/Earlgrey_Verilator_01_05_21/sim-icarus/Earlgrey_Verilator_01_05_21.log @@ -28406,103 +28406,3 @@ var_select 16611 [ ERROR] : 0 [WARNING] : 414 [ NOTE] : 111 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dv_macros_0/dv_macros.svh:476:262: Non synthesizable construct, name -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:25:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:25:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:28:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:36:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:38:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:18:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:18:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:21:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:25:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:28:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:31:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:37:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:115:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:116:7: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:119:21: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:120:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:131:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:134:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:137:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:140:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:143:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:146:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:149:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:152:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:156:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:101:9: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:742:7: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:740:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:21:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:21:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:24:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:29:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:31:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:37:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ip_otbn_0.1/rtl/otbn.sv:440:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:51:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:51:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:52:51: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:54:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:75:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:83:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:91:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:30:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:33:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:35:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:41:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:22:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:22:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:25:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:28:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:34:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:36:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:40:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:40:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:40:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:43:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:46:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:49:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:51:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:57:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:115:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:116:7: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:119:21: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:120:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:131:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:134:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:137:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:140:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:143:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:146:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:149:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:152:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:156:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:101:9: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:742:7: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:740:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:38:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_ip_otbn_0.1/rtl/otbn.sv:440:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:75:11: Non synthesizable construct, chandle_null -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:83:11: Non synthesizable construct, model_handle -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_otbn_model_0.1/otbn_core_model.sv:91:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:31:12: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:37:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:36:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:40:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:35:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:41:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:51:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_01_05_21/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:57:3: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log b/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log index dbccb874b8..52ac0c3c58 100644 --- a/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log +++ b/third_party/tests/Earlgrey_Verilator_0_1/sim-verilator/Earlgrey_Verilator_0_1.log @@ -11778,89 +11778,3 @@ var_select 8035 [ ERROR] : 0 [WARNING] : 242 [ NOTE] : 83 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:25:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:25:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:28:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:36:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:38:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:18:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:18:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:21:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:25:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:28:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:31:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:37:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:100:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:101:7: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:104:21: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:105:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:116:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:119:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:122:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:125:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:128:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:131:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:134:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:137:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:141:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:729:7: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:727:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:21:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:21:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:24:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:29:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:31:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_jtagdpi_0.1/jtagdpi.sv:37:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:30:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:33:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:35:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:41:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:20:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:20:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:23:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:26:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:29:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:31:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:37:5: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:38:19: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:114:15: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:29:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:29:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:32:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:35:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:38:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:40:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:46:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:100:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:101:7: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:104:21: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:105:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:116:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:119:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:122:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:125:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:128:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:131:7: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:134:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:137:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:141:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:729:7: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_ibex_ibex_tracer_0.1/rtl/ibex_tracer.sv:727:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:38:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_dmidpi_0.1/dmidpi.sv:44:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:31:12: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_gpiodpi_0.1/gpiodpi.sv:37:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:31:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:37:5: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:38:19: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_uartdpi_0.1/uartdpi.sv:114:15: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:35:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_spidpi_0.1/spidpi.sv:41:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:40:11: Non synthesizable construct, ctx -[LINT]: ${SURELOG_DIR}/third_party/tests/Earlgrey_Verilator_0_1/src/lowrisc_dv_dpi_usbdpi_0.1/usbdpi.sv:46:3: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/Icarus/Icarus.log b/third_party/tests/Icarus/Icarus.log index fd9a56a574..4ef458b97d 100644 --- a/third_party/tests/Icarus/Icarus.log +++ b/third_party/tests/Icarus/Icarus.log @@ -4254,13 +4254,3 @@ Processed 697 tests. [ ERROR] : 12 [WARNING] : 15 [ NOTE] : 0 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:222:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:224:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:226:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:176:4: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:245:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:246:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Icarus/contrib/div16.v:252:4: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/IncompTitan/IncompTitan.log b/third_party/tests/IncompTitan/IncompTitan.log index ac20bd3c3f..b828b42b37 100644 --- a/third_party/tests/IncompTitan/IncompTitan.log +++ b/third_party/tests/IncompTitan/IncompTitan.log @@ -10730,1168 +10730,3 @@ var_select 882 [ ERROR] : 0 [WARNING] : 379 [ NOTE] : 41 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:48: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:48: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:56: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:68: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:50: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:46: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:53: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:56: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:56: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:46: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:56: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:52: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:48: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:46: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:46: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:48: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:42: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:38: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:50: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:37: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:23:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:50: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:46:47: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:49:49: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:48: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:39: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, wmask_bytealign_p -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, wmask_bytealign_p -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, wmask_bytealign_p -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, wmask_bytealign_p -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_ip_hmac_0.1/rtl/hmac.sv:520:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:55: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_prim_assert_0.1/rtl/prim_assert_standard_macros.svh:31:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:20:13: Unsupported typespec, otp_ctrl_pkg::lfsr_seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:20:39: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:25:13: Unsupported typespec, otp_ctrl_pkg::lfsr_perm_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:25:39: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:30:13: Unsupported typespec, otp_ctrl_pkg::key_array_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:30:39: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:36:13: Unsupported typespec, otp_ctrl_pkg::digest_const_array_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:36:48: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:43:13: Unsupported typespec, otp_ctrl_pkg::digest_iv_array_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:43:45: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:49:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:49:37: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:57:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:57:42: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:62:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:62:42: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:67:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:67:42: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:88:13: Unsupported typespec, otp_ctrl_pkg::sram_key_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:88:38: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:93:13: Unsupported typespec, otp_ctrl_pkg::sram_nonce_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:93:40: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:124:13: Unsupported typespec, keymgr_pkg::lfsr_seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:124:37: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:129:13: Unsupported typespec, keymgr_pkg::lfsr_perm_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:129:37: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:135:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:135:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:140:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:140:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:145:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:145:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:150:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:150:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:155:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:155:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:160:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:160:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:165:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:165:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:170:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:170:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:175:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:175:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:180:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:180:32: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:188:13: Unsupported typespec, otp_ctrl_pkg::sram_key_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:188:38: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:193:13: Unsupported typespec, otp_ctrl_pkg::sram_nonce_t -[LINT]: \_ ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:193:40: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:165:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:140:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:160:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:170:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:175:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:129:13: Unsupported typespec, keymgr_pkg::lfsr_perm_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:124:13: Unsupported typespec, keymgr_pkg::lfsr_seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:180:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:150:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:145:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:135:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:155:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:57:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:67:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:62:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:36:13: Unsupported typespec, otp_ctrl_pkg::digest_const_array_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:43:13: Unsupported typespec, otp_ctrl_pkg::digest_iv_array_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:30:13: Unsupported typespec, otp_ctrl_pkg::key_array_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:25:13: Unsupported typespec, otp_ctrl_pkg::lfsr_perm_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:20:13: Unsupported typespec, otp_ctrl_pkg::lfsr_seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:49:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:188:13: Unsupported typespec, otp_ctrl_pkg::sram_key_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:193:13: Unsupported typespec, otp_ctrl_pkg::sram_nonce_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:88:13: Unsupported typespec, otp_ctrl_pkg::sram_key_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:93:13: Unsupported typespec, otp_ctrl_pkg::sram_nonce_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:20:13: Unsupported typespec, otp_ctrl_pkg::lfsr_seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:25:13: Unsupported typespec, otp_ctrl_pkg::lfsr_perm_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:30:13: Unsupported typespec, otp_ctrl_pkg::key_array_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:36:13: Unsupported typespec, otp_ctrl_pkg::digest_const_array_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:43:13: Unsupported typespec, otp_ctrl_pkg::digest_iv_array_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:49:13: Unsupported typespec, lc_ctrl_pkg::lc_token_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:57:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:62:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:67:13: Unsupported typespec, lc_ctrl_pkg::lc_keymgr_div_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:88:13: Unsupported typespec, otp_ctrl_pkg::sram_key_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:93:13: Unsupported typespec, otp_ctrl_pkg::sram_nonce_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:124:13: Unsupported typespec, keymgr_pkg::lfsr_seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:129:13: Unsupported typespec, keymgr_pkg::lfsr_perm_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:135:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:140:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:145:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:150:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:155:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:160:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:165:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:170:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:175:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:180:13: Unsupported typespec, keymgr_pkg::seed_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:188:13: Unsupported typespec, otp_ctrl_pkg::sram_key_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey_rnd_cnst_pkg.sv:193:13: Unsupported typespec, otp_ctrl_pkg::sram_nonce_t -[LINT]: \_ :0:0: -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey.sv:64:10: Unsupported typespec, ast_wrapper_pkg::ast_alert_req_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey.sv:65:10: Unsupported typespec, ast_wrapper_pkg::ast_alert_rsp_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey.sv:66:10: Unsupported typespec, ast_wrapper_pkg::ast_status_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey.sv:71:10: Unsupported typespec, otp_ctrl_pkg::otp_ast_req_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_top_earlgrey_0.1/rtl/autogen/top_earlgrey.sv:72:10: Unsupported typespec, otp_ctrl_pkg::otp_ast_rsp_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:30:9: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:31:9: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:32:9: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:33:9: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:34:9: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:45:16: Unsupported typespec, otp_ctrl_pkg::flash_otp_key_req_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:46:16: Unsupported typespec, otp_ctrl_pkg::flash_otp_key_rsp_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:47:16: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:48:16: Unsupported typespec, lc_ctrl_pkg::lc_flash_rma_seed_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:49:16: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:194:3: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -[LINT]: ${SURELOG_DIR}/third_party/tests/IncompTitan/src/lowrisc_systems_flash_ctrl_0.1/rtl/autogen/flash_ctrl.sv:195:3: Unsupported typespec, lc_ctrl_pkg::lc_tx_t -============================== End Linting Results ============================== diff --git a/third_party/tests/NyuziProcessor/NyuziProcessor.log b/third_party/tests/NyuziProcessor/NyuziProcessor.log index feeb26d1e4..053353eee0 100644 --- a/third_party/tests/NyuziProcessor/NyuziProcessor.log +++ b/third_party/tests/NyuziProcessor/NyuziProcessor.log @@ -1885,52 +1885,3 @@ var_select 961 [ ERROR] : 0 [WARNING] : 48 [ NOTE] : 12 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_jtag.sv:75:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:82:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:84:24: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:107:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:104:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:305:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:306:25: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:433:29: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:438:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:441:26: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:449:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:465:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:466:16: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:467:16: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:472:23: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:491:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:495:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:498:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:458:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:533:25: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:535:21: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:538:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:543:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:305:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:306:25: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:433:29: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:438:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:441:26: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:449:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:465:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:466:16: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:467:16: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:472:23: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:491:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:495:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:498:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:458:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:533:25: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:535:21: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:538:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/soc_tb.sv:543:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:82:13: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:84:24: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:107:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_sdmmc.sv:104:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/NyuziProcessor/hardware/testbench/sim_jtag.sv:75:13: Non synthesizable construct, $value$plusargs -============================== End Linting Results ============================== diff --git a/third_party/tests/Opentitan/Earlgrey.log b/third_party/tests/Opentitan/Earlgrey.log index df2ebbb5cc..3c1532a281 100644 --- a/third_party/tests/Opentitan/Earlgrey.log +++ b/third_party/tests/Opentitan/Earlgrey.log @@ -39430,10 +39430,3 @@ var_select 1245 [ ERROR] : 0 [WARNING] : 184 [ NOTE] : 11070 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Opentitan/hw/vendor/pulp_riscv_dbg/src/dm_csrs.sv:633:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Opentitan/hw/vendor/pulp_riscv_dbg/src/dm_sba.sv:166:41: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Opentitan/hw/vendor/pulp_riscv_dbg/src/dm_csrs.sv:633:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Opentitan/hw/vendor/pulp_riscv_dbg/src/dm_sba.sv:166:41: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/RiscV/RiscV.log b/third_party/tests/RiscV/RiscV.log index 59505f6b45..07b6507e2d 100644 --- a/third_party/tests/RiscV/RiscV.log +++ b/third_party/tests/RiscV/RiscV.log @@ -165,13 +165,3 @@ var_select 1 [ ERROR] : 1 [WARNING] : 5 [ NOTE] : 19 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:41:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:47:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:48:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:49:7: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:61:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:77:16: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/RiscV/src/test/verilog/vscale_hex_tb.v:84:10: Non synthesizable construct, $fdisplay -============================== End Linting Results ============================== diff --git a/third_party/tests/SVSwitch/SVSwitch.log b/third_party/tests/SVSwitch/SVSwitch.log index ab68b84a6a..b9d04603ad 100644 --- a/third_party/tests/SVSwitch/SVSwitch.log +++ b/third_party/tests/SVSwitch/SVSwitch.log @@ -421,89 +421,3 @@ while_stmt 1 [ ERROR] : 0 [WARNING] : 1 [ NOTE] : 85 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Packet.sv:16:1: Non synthesizable construct, work@packet -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Driver.sv:12:1: Non synthesizable construct, work@Driver -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Receiver.sv:12:1: Non synthesizable construct, work@Receiver -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Scoreboard.sv:12:1: Non synthesizable construct, work@Scoreboard -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Environemnt.sv:12:1: Non synthesizable construct, work@Environment -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Receiver.sv:40:16: Non synthesizable construct, new -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Coverage.sv:12:1: Non synthesizable construct, work@coverage -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/Packet.sv:71:11: Non synthesizable construct, new -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:12:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:12:1: Non synthesizable construct, work@small_packet -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:44:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:44:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:45:16: Non synthesizable construct, data_status -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:46:16: Non synthesizable construct, data_in -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:43:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:23:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:23:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:24:17: Non synthesizable construct, mem_data -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:25:18: Non synthesizable construct, mem_add -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:26:13: Non synthesizable construct, mem_en -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:27:13: Non synthesizable construct, mem_rd_wr -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:22:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:65:15: Non synthesizable construct, data_out -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:66:15: Non synthesizable construct, ready -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:67:15: Non synthesizable construct, read -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:63:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:26:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:27:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:28:5: Non synthesizable construct, build -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:30:5: Non synthesizable construct, reset -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:31:5: Non synthesizable construct, cfg_dut -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:32:5: Non synthesizable construct, start -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:33:5: Non synthesizable construct, wait_for_end -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:34:5: Non synthesizable construct, report -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:36:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:39:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/testcase.sv:18:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/top.sv:20:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/top.sv:44:1: Non synthesizable construct, TC -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:23:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:23:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:24:17: Non synthesizable construct, mem_data -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:25:18: Non synthesizable construct, mem_add -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:26:13: Non synthesizable construct, mem_en -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:27:13: Non synthesizable construct, mem_rd_wr -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:22:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:44:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:44:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:45:16: Non synthesizable construct, data_status -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:46:16: Non synthesizable construct, data_in -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:43:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:65:15: Non synthesizable construct, data_out -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:66:15: Non synthesizable construct, ready -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:67:15: Non synthesizable construct, read -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:63:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:65:15: Non synthesizable construct, data_out -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:66:15: Non synthesizable construct, ready -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:67:15: Non synthesizable construct, read -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:63:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:65:15: Non synthesizable construct, data_out -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:66:15: Non synthesizable construct, ready -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:67:15: Non synthesizable construct, read -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:63:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:65:15: Non synthesizable construct, data_out -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:66:15: Non synthesizable construct, ready -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:67:15: Non synthesizable construct, read -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:63:3: Non synthesizable construct, cb -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:64:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:65:15: Non synthesizable construct, data_out -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:66:15: Non synthesizable construct, ready -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:67:15: Non synthesizable construct, read -[LINT]: ${SURELOG_DIR}/third_party/tests/SVSwitch/interface.sv:63:3: Non synthesizable construct, cb -============================== End Linting Results ============================== diff --git a/third_party/tests/Scr1/Scr1.log b/third_party/tests/Scr1/Scr1.log index d67a8ac883..47c8bb2a97 100644 --- a/third_party/tests/Scr1/Scr1.log +++ b/third_party/tests/Scr1/Scr1.log @@ -520,166 +520,3 @@ while_stmt 1 [ ERROR] : 0 [WARNING] : 36 [ NOTE] : 51 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/core/scr1_dm.sv:1212:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/core/scr1_dm.sv:1219:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/core/scr1_dm.sv:1226:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/core/scr1_dm.sv:1233:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/core/scr1_dm.sv:1240:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/core/scr1_dm.sv:1247:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:142:13: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:235:22: Non synthesizable construct, substr -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:242:23: Non synthesizable construct, len -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:253:41: Non synthesizable construct, len -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:253:21: Non synthesizable construct, substr -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:260:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:261:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:278:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:279:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:280:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:281:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:282:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:291:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:292:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:293:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:294:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:298:19: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:299:19: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:327:17: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:328:22: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:330:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:333:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:334:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:338:22: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:340:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:343:21: Non synthesizable construct, $fscanf -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:344:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:352:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:354:17: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:355:22: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:357:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:361:21: Non synthesizable construct, $fscanf -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:366:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:370:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:372:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:374:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:382:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:384:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:386:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:395:13: Non synthesizable construct, $fscanf -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:403:13: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:411:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_top_tb_axi.sv:412:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:161:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:162:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:163:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:169:9: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:171:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:348:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:349:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:350:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:351:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:352:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:353:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:354:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:355:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:356:5: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:203:13: Non synthesizable construct, hextoa -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:203:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:214:24: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:215:9: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:217:25: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:218:9: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:220:21: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:221:5: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:227:13: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:228:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:236:30: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:238:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:239:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:240:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:241:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:242:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:243:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:244:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:245:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:246:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:247:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:248:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:249:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:250:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:251:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:252:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:253:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:254:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:255:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:256:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:258:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:259:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:260:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:261:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:262:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:263:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:264:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:265:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:266:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:267:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:268:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:269:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:270:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:271:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:272:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:273:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:275:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:389:13: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:390:13: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:397:34: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:400:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:401:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:402:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:403:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:404:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:405:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:406:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:407:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:408:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_tracelog.sv:409:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:251:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:259:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:266:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:273:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:280:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:287:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:303:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:310:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:318:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:325:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:332:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:295:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:251:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:259:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:266:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:273:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:280:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:287:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:303:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:310:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:318:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:325:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:332:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_pipe_csr.sv:664:84: Unsupported typespec, SCR1_CSR_MTVEC_BASE_ZERO_BITS -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_pipe_csr.sv:668:84: Unsupported typespec, SCR1_CSR_MTVEC_BASE_ZERO_BITS -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_pipe_csr.sv:671:44: Unsupported typespec, SCR1_CSR_MTVEC_BASE_ZERO_BITS -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1/src/pipeline/scr1_ipic.sv:231:23: Unsupported typespec, SCR1_IRQ_LINES_WIDTH -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/third_party/tests/Scr1SvTests/Scr1SvTests.log b/third_party/tests/Scr1SvTests/Scr1SvTests.log index 8b68f85133..ca71f8b5d4 100644 --- a/third_party/tests/Scr1SvTests/Scr1SvTests.log +++ b/third_party/tests/Scr1SvTests/Scr1SvTests.log @@ -384,87 +384,3 @@ while_stmt 1 [ ERROR] : 0 [WARNING] : 36 [ NOTE] : 49 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:160:13: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:255:22: Non synthesizable construct, substr -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:262:23: Non synthesizable construct, len -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:273:41: Non synthesizable construct, len -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:273:21: Non synthesizable construct, substr -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:280:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:281:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:298:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:299:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:300:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:301:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_axi.sv:302:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:11:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:12:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:19:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:20:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:22:19: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:23:19: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:59:17: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:60:22: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:62:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:65:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:66:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:70:22: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:72:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:75:21: Non synthesizable construct, $fscanf -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:76:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:84:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:101:21: Non synthesizable construct, $sformat -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:105:26: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:107:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:111:25: Non synthesizable construct, $fscanf -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:116:21: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:120:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:122:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:132:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:134:25: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:138:13: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:151:13: Non synthesizable construct, $fscanf -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:153:22: Non synthesizable construct, $fopen -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:162:21: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:170:17: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:178:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_top_tb_runtests.sv:179:17: Non synthesizable construct, $fclose -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:294:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:303:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:310:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:317:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:324:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:331:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:347:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:354:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:363:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:370:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:377:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:384:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:339:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:294:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:303:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:310:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:317:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:324:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:331:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:347:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:354:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:363:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:370:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:377:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/tb/scr1_memory_tb_axi.sv:384:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/core/pipeline/scr1_pipe_csr.sv:1007:73: Unsupported typespec, SCR1_CSR_MTVEC_BASE_ZERO_BITS -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/core/pipeline/scr1_pipe_csr.sv:1011:73: Unsupported typespec, SCR1_CSR_MTVEC_BASE_ZERO_BITS -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/core/pipeline/scr1_pipe_csr.sv:1014:49: Unsupported typespec, SCR1_CSR_MTVEC_BASE_ZERO_BITS -[LINT]: ${SURELOG_DIR}/third_party/tests/Scr1SvTests/src/core/pipeline/scr1_ipic.sv:581:26: Unsupported typespec, SCR1_IRQ_LINES_WIDTH -[LINT]: \_ :0:0: -============================== End Linting Results ============================== diff --git a/third_party/tests/SimpleParserTest/SimpleParserTest.log b/third_party/tests/SimpleParserTest/SimpleParserTest.log index 42830ad0e1..1b7ff116da 100644 --- a/third_party/tests/SimpleParserTest/SimpleParserTest.log +++ b/third_party/tests/SimpleParserTest/SimpleParserTest.log @@ -19435,32 +19435,3 @@ design: (work@dff_async_reset) [ ERROR] : 0 [WARNING] : 6 [ NOTE] : 22 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:13:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:14:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:15:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:16:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:17:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:18:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:19:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:20:7: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:7:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:28:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:29:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:30:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:31:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:32:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:33:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:34:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:35:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:36:6: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/jkff_udp.v:24:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/arbiter_tb.v:17:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/arbiter_tb.v:28:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/arbiter_tb.v:47:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/mux21.v:16:9: Non synthesizable construct, N1 -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/mux21.v:17:9: Non synthesizable construct, N2 -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/mux21.v:19:9: Non synthesizable construct, C1 -[LINT]: ${SURELOG_DIR}/third_party/tests/SimpleParserTest/mux21.v:20:9: Non synthesizable construct, C2 -============================== End Linting Results ============================== diff --git a/third_party/tests/Sky130Udp/Sky130Udp.log b/third_party/tests/Sky130Udp/Sky130Udp.log index da6d13c98e..2160831e93 100644 --- a/third_party/tests/Sky130Udp/Sky130Udp.log +++ b/third_party/tests/Sky130Udp/Sky130Udp.log @@ -3085,12 +3085,3 @@ Processed 23 tests. [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 0 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Sky130Udp/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.v:51:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Sky130Udp/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.v:52:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Sky130Udp/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.v:53:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Sky130Udp/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.v:54:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Sky130Udp/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.v:55:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/Sky130Udp/udp_dlatch_p/sky130_fd_sc_hd__udp_dlatch_p.v:37:1: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/Tnoc/Tnoc.log b/third_party/tests/Tnoc/Tnoc.log index bb2e0338d2..e5855df888 100644 --- a/third_party/tests/Tnoc/Tnoc.log +++ b/third_party/tests/Tnoc/Tnoc.log @@ -8129,331 +8129,3 @@ var_select 185 [ ERROR] : 0 [WARNING] : 46 [ NOTE] : 6 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:65:14: Non synthesizable construct, __onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_round_robin_arbiter.sv:69:23: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_round_robin_arbiter.sv:82:36: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:295:29: Non synthesizable construct, calc_burst_length -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:48:21: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:118:25: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:121:25: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:130:25: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:133:25: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:147:20: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_error_checker.sv:216:33: Non synthesizable construct, get_payload_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_deserializer.sv:132:15: Non synthesizable construct, unpack_payload -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_deserializer.sv:68:17: Non synthesizable construct, unpack_header -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_deserializer.sv:90:17: Non synthesizable construct, unpack_header -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_deserializer.sv:97:27: Non synthesizable construct, get_channel_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_deserializer.sv:104:22: Non synthesizable construct, get_channel_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:46:25: Non synthesizable construct, get_channel_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:168:29: Non synthesizable construct, pack_payload -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:173:19: Non synthesizable construct, get_header_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:83:21: Non synthesizable construct, get_header_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:109:31: Non synthesizable construct, pack_header -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:119:43: Non synthesizable construct, pack_header -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_packet_serializer.sv:134:27: Non synthesizable construct, get_channel_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_port_controller_internal.sv:110:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_arbiter.sv:67:37: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_arbiter.sv:68:37: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:48:26: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:34:48: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:35:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_demux.sv:36:48: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:58:66: Non synthesizable construct, get_head_flit_valid -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_route_selector.sv:59:66: Non synthesizable construct, get_tail_flit_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_vc_mux.sv:51:38: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:14:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/bcm/tbcm_onehot.sv:16:30: Non synthesizable construct, to_onehot -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:34:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:35:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:36:42: Non synthesizable construct, demux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_mux.sv:57:42: Non synthesizable construct, mux -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/common/tnoc_flit_if_fifo.sv:85:38: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -[LINT]: ${SURELOG_DIR}/third_party/tests/Tnoc/cores/tnoc/rtl/router/tnoc_output_switch.sv:24:37: Non synthesizable construct, get_ack -============================== End Linting Results ============================== diff --git a/third_party/tests/XilinxUnisimLibrary/Unisim.log b/third_party/tests/XilinxUnisimLibrary/Unisim.log index 124ee54796..368249176e 100644 --- a/third_party/tests/XilinxUnisimLibrary/Unisim.log +++ b/third_party/tests/XilinxUnisimLibrary/Unisim.log @@ -1862,27 +1862,3 @@ Processed 250 tests. [ ERROR] : 0 [WARNING] : 179 [ NOTE] : 0 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:346:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:377:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:1002:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:1033:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:3103:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:3115:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:3125:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:3192:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:3248:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/glbl.v:79:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/glbl.v:86:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/glbl.v:92:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/glbl.v:94:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:1002:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:346:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:1002:8: Non synthesizable construct, DATARATE_REG -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:1033:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:377:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:1033:8: Non synthesizable construct, INS_LOSS_NYQ_REG -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:346:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/XilinxUnisimLibrary/verilog/src/unisims/GTM_DUAL.sv:377:13: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log b/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log index 91d6041cc0..0463d84ff4 100644 --- a/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log +++ b/third_party/tests/YosysBigSim/aes_5cycle_2stage/YosysBigSimAes.log @@ -77,11 +77,3 @@ while_stmt 1 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/aes_5cycle_2stage/sim/bench.v:403:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/aes_5cycle_2stage/sim/bench.v:406:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/aes_5cycle_2stage/sim/bench.v:437:8: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/aes_5cycle_2stage/sim/bench.v:439:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/aes_5cycle_2stage/sim/bench.v:466:7: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log b/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log index a4a4443d0a..28c3252950 100644 --- a/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log +++ b/third_party/tests/YosysBigSim/amber23/YosysBigSimAmber23.log @@ -559,12 +559,3 @@ var_select 45 [ ERROR] : 0 [WARNING] : 17 [ NOTE] : 22 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/amber23/sim/bench.v:203:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/amber23/sim/bench.v:177:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/amber23/sim/bench.v:180:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/amber23/sim/bench.v:244:5: Non synthesizable construct, output_eof -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/amber23/sim/bench.v:254:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/amber23/sim/bench.v:203:7: Non synthesizable construct, output_eof -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log b/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log index e6c6515289..a176d65d40 100644 --- a/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log +++ b/third_party/tests/YosysBigSim/lm32/YosysBigSimLm32.log @@ -231,10 +231,3 @@ var_select 8 [ ERROR] : 0 [WARNING] : 19 [ NOTE] : 7 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/lm32/sim/tb_lm32_system.v:94:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/lm32/sim/tb_lm32_system.v:171:4: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/lm32/sim/tb_lm32_system.v:306:5: Non synthesizable construct, $value$plusargs -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/lm32/sim/tb_lm32_system.v:315:7: Non synthesizable construct, $value$plusargs -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log index 574e4daf85..1bad3d907b 100644 --- a/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log +++ b/third_party/tests/YosysBigSim/openmsp430/YosysBigSimOpenMsp.log @@ -187,15 +187,3 @@ while_stmt 1 [ ERROR] : 0 [WARNING] : 20 [ NOTE] : 16 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:160:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:122:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:124:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:126:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:128:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:130:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:208:5: Non synthesizable construct, output_eof -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:218:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/openmsp430/sim/bench.v:160:7: Non synthesizable construct, output_eof -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log b/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log index f284c9506c..62e1ce208e 100644 --- a/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log +++ b/third_party/tests/YosysBigSim/reed_solomon_decoder/YosysBigSimReed.log @@ -128,17 +128,3 @@ sys_func_call 14 [ ERROR] : 0 [WARNING] : 13 [ NOTE] : 10 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:42:10: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:66:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:68:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:112:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:113:4: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:114:4: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:135:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:139:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:140:4: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:142:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/reed_solomon_decoder/sim/RS_dec_tb.v:154:7: Non synthesizable construct, $write -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log b/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log index 151b951cc0..3c6648a6e3 100644 --- a/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log +++ b/third_party/tests/YosysBigSim/softusb_navre/YosysBigSimSoft.log @@ -77,15 +77,3 @@ while_stmt 1 [ ERROR] : 0 [WARNING] : 2 [ NOTE] : 6 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:83:1: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:61:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:63:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:65:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:67:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:69:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:115:4: Non synthesizable construct, output_eof -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:126:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/softusb_navre/sim/bench.v:83:7: Non synthesizable construct, output_eof -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log b/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log index 63fd1a3722..0eb4b5d2b9 100644 --- a/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log +++ b/third_party/tests/YosysBigSim/verilog-pong/YosysBigSimPong.log @@ -90,14 +90,3 @@ sys_func_call 7 [ ERROR] : 0 [WARNING] : 6 [ NOTE] : 5 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:28:2: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:31:3: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:66:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:68:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:70:5: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:71:5: Non synthesizable construct, $fflush -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:81:4: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBigSim/verilog-pong/sim/bench.v:97:4: Non synthesizable construct, $write -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysBoom/YosysSmallBoom.log b/third_party/tests/YosysBoom/YosysSmallBoom.log index b6de6f2313..06fef8e090 100644 --- a/third_party/tests/YosysBoom/YosysSmallBoom.log +++ b/third_party/tests/YosysBoom/YosysSmallBoom.log @@ -2076,673 +2076,3 @@ task 9 [ ERROR] : 0 [WARNING] : 291 [ NOTE] : 296 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99858:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99880:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99902:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99924:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:114451:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:114473:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:104044:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:104055:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:104077:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:104088:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:109130:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:109152:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6004:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6026:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6048:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6070:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6092:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6114:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6136:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6158:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6180:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6202:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6224:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:6246:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:15259:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:15281:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:224766:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:224788:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:227390:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:227412:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:13485:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:13507:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:13529:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:13551:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:13573:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:13595:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:4643:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:4665:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:14014:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:14036:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:18353:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:18375:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:91226:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:91248:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:212917:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:212939:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:212961:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:212983:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213005:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213027:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213049:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213071:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213093:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213115:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213137:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213159:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213181:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:213203:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144525:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144547:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144569:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144591:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144613:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144635:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:144657:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:133321:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:130935:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:130957:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:130979:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:139104:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:135103:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:139288:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:164905:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:164927:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:164949:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:164971:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:164993:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:165015:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:148958:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:148980:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149002:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149024:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149046:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149068:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149090:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149112:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149134:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149156:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149178:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149200:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149222:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149244:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149266:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149288:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149310:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149332:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149354:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149376:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149398:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149420:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149442:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149464:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149486:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149508:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149530:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149552:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149574:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149596:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149618:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149640:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149662:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149684:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149706:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149728:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149750:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149772:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149794:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149816:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149838:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149860:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149882:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149904:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149926:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149948:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149970:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:149992:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150014:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150036:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150058:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150080:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150102:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150124:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150146:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150168:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150190:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150212:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150234:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150256:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150278:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150300:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150322:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150344:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150366:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150388:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150410:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:150432:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:151562:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158475:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158497:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158519:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158541:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158563:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158585:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158607:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158629:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158651:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158673:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158695:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158717:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158739:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158761:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158783:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158805:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158827:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158849:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158871:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158893:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158915:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158937:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158959:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:158981:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159003:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159025:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159047:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159069:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159091:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159113:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159135:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159157:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159179:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159201:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159223:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159245:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159267:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159289:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159311:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159333:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159355:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159377:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159399:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159421:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159443:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159465:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159487:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159509:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159531:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159553:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159575:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159597:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159619:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159641:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159663:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159685:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159707:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159729:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159751:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159773:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159795:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159817:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159839:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:159861:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:160187:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:170422:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:170444:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:167005:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:167027:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:167049:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:167071:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:167093:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:167115:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:174696:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:174718:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:175000:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:180869:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:180891:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194388:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194410:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194432:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194454:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194476:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194498:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194520:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194542:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194564:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194586:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194608:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194630:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194652:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194674:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194696:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194718:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194740:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194762:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194784:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:194806:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:64907:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201645:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201667:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201689:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201711:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201733:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201755:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201777:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201799:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201821:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201843:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201865:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201887:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201909:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201931:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201953:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201975:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:201997:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202019:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202041:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202063:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202085:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202107:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202129:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202151:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202173:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202195:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202217:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:202239:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:206845:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:206867:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:69148:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:78689:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89143:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89165:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89187:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89209:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89231:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89253:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89275:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89297:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89308:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89319:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89341:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89352:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89363:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89385:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89407:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89429:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89451:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89473:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:89495:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:78930:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:80197:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:80219:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:84915:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:84937:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:84959:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:84981:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85003:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85025:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85047:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85069:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85091:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85113:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:85988:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:86010:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:86021:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:86043:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:86065:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:86087:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:93657:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:93679:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:93313:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:93335:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:93357:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:93379:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:68296:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:68318:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:68340:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:54664:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:59309:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:59331:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:59353:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:59375:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:57865:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:54008:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:54030:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:54052:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99566:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99588:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:99610:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1535:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1557:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1579:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1601:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1623:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1645:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1667:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1689:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1711:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1733:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1755:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1777:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1799:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1821:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:1843:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7679:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7701:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7723:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7745:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7767:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7789:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7811:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7833:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7855:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7877:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7899:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:7921:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25303:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25325:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25347:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25369:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25391:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25413:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25435:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25457:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25479:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25501:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25523:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25545:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25567:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25589:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25611:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25633:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25655:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25677:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25699:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25721:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25743:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25765:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25787:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25809:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25831:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25853:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25875:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25897:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25919:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25941:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25963:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:25985:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26007:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26029:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26051:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26073:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26095:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26117:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26139:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26161:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26183:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26205:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26227:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26249:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26271:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26293:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26315:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26337:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26359:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26381:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26403:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26425:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26447:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26469:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26491:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26513:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26535:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26557:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26579:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26601:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26623:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26645:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26667:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26689:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26711:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26733:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26755:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26777:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26799:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26821:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26843:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26865:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26887:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26909:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26931:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26953:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26975:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:26997:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27019:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27041:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27063:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27085:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27107:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27129:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27151:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27173:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27195:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27217:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27239:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27261:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27283:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27305:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27327:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27349:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27371:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27393:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27415:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27437:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27459:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27481:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27503:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27525:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27547:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27569:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27591:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27613:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27635:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27657:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27679:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27701:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27723:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27745:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27767:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27789:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27811:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27833:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27855:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27877:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27899:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27921:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27943:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27965:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:27987:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28009:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28031:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28053:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28075:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28097:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28119:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28141:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28163:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28185:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28207:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28229:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28251:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28273:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28295:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28317:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28339:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28361:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28383:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28405:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28427:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28449:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28471:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28493:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28515:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28537:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28559:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28581:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28603:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28625:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28647:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28669:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28691:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28713:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28735:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28757:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28779:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28801:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28823:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28845:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28867:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28889:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28911:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28933:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28955:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28977:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:28999:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29021:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29043:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29065:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29087:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29109:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29131:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29153:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29175:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29197:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29219:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29241:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29263:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29285:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29307:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29329:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29351:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29373:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29395:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29417:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29439:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29461:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29483:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29505:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29527:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29549:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29571:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29593:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29615:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29637:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29659:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29681:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29703:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29725:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29747:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29769:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29791:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29813:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29835:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29857:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29879:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29901:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29923:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29945:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29967:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:29989:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30011:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30033:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30055:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30077:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30099:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30121:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30143:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30165:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30187:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30209:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30231:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30253:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30275:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30297:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30319:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30341:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30363:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30385:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30407:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30429:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30451:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30473:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30495:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30517:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30539:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30561:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30583:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30605:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30627:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30649:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30671:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30693:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30715:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30737:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30759:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30781:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30803:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30825:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30847:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30869:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30891:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:30913:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:33877:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:33899:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:33921:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:36214:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:36236:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:37535:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:37557:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:38189:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:38211:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:38843:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:38865:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:39433:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:41605:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:41627:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:41649:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:41671:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:42502:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:42524:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:42546:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:52040:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:52062:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:52084:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:52106:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:220310:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:220332:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:220354:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:220376:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:220398:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:220420:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:217628:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:217650:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:217672:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:217694:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:217990:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218012:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218034:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218056:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218352:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218374:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218396:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218418:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218714:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218736:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218758:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:218780:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:228370:11: Non synthesizable construct, $fwrite -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysBoom/SmallBoom.v:228426:9: Non synthesizable construct, $value$plusargs -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysDsp/YosysDsp.log b/third_party/tests/YosysDsp/YosysDsp.log index 4b597a0a20..6e4c99ff75 100644 --- a/third_party/tests/YosysDsp/YosysDsp.log +++ b/third_party/tests/YosysDsp/YosysDsp.log @@ -2976,7 +2976,3 @@ var_select 46 [ ERROR] : 6 [WARNING] : 20 [ NOTE] : 20 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysDsp/smplfir.v:63:4: Illegal wire LHS, o_val -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysIce40/YosysIce40.log b/third_party/tests/YosysIce40/YosysIce40.log index 6a0b274b46..a0d857e4ed 100644 --- a/third_party/tests/YosysIce40/YosysIce40.log +++ b/third_party/tests/YosysIce40/YosysIce40.log @@ -676,13 +676,3 @@ var_select 4 [ ERROR] : 0 [WARNING] : 49 [ NOTE] : 108 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:5770:11: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:5921:9: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:6009:7: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:6012:7: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:6829:7: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:6920:7: Non synthesizable construct, $write -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysIce40/design03.v:918:11: Non synthesizable construct, $write -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysOldTests/aes_core/YosysOldAes.log b/third_party/tests/YosysOldTests/aes_core/YosysOldAes.log index 63c1f2319e..4b0573f2bb 100644 --- a/third_party/tests/YosysOldTests/aes_core/YosysOldAes.log +++ b/third_party/tests/YosysOldTests/aes_core/YosysOldAes.log @@ -93,103 +93,3 @@ task 9 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 8 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:107:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:109:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:111:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:113:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:114:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:115:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:122:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:123:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:124:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:125:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:126:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:127:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:128:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:129:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:130:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:131:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:132:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:133:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:134:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:135:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:136:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:137:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:186:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:187:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:188:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:189:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:190:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:191:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:192:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:193:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:194:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:195:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:196:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:197:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:198:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:199:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:200:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_cipher_top.v:201:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_key_expand_128.v:76:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_key_expand_128.v:77:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_key_expand_128.v:78:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_key_expand_128.v:79:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_rcon.v:71:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_rcon.v:72:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_rcon.v:76:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_rcon.v:77:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:108:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:110:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:112:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:114:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:116:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:119:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:121:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:123:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:125:43: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:127:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:134:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:135:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:136:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:137:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:138:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:139:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:140:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:141:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:142:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:143:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:144:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:145:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:146:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:147:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:148:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:149:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:198:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:199:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:200:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:201:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:202:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:203:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:204:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:205:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:206:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:207:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:208:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:209:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:210:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:211:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:212:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:213:44: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:278:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:280:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:282:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:285:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:287:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:289:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:291:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:292:45: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/aes_core/rtl/aes_inv_cipher_top.v:293:43: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysOldTests/i2c/YosysOldI2c.log b/third_party/tests/YosysOldTests/i2c/YosysOldI2c.log index 091652b562..87b97eede7 100644 --- a/third_party/tests/YosysOldTests/i2c/YosysOldI2c.log +++ b/third_party/tests/YosysOldTests/i2c/YosysOldI2c.log @@ -87,238 +87,3 @@ task 9 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 8 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:190:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:199:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:200:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:204:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:205:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:210:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:211:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:215:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:216:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:220:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:221:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:235:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:236:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:238:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:239:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:243:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:244:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:246:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:247:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:251:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:252:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:254:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:255:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:263:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:264:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:268:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:269:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:273:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:274:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:280:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:282:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:284:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:293:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:295:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:297:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:301:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:303:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:305:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:311:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:338:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:339:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:340:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:341:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:342:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:346:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:347:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:348:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:349:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:350:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:354:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:363:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:366:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:369:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:372:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:375:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:378:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:379:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:380:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:386:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:387:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:388:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:389:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:394:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:395:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:396:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:397:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:402:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:403:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:404:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:405:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:410:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:411:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:412:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:413:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:418:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:419:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:420:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:421:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:422:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:428:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:429:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:430:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:431:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:436:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:437:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:438:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:439:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:444:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:445:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:446:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:447:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:452:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:453:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:454:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:455:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:456:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:462:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:463:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:464:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:465:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:470:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:471:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:472:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:473:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:478:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:479:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:480:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:481:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:486:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:487:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:488:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:489:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:490:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:496:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:497:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:498:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:499:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:504:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:505:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:506:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:507:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:512:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:513:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:514:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:515:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:520:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:521:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:522:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:523:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_bit_ctrl.v:524:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:175:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:177:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:179:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:181:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:186:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:188:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:190:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:192:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:204:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:205:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:206:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:207:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:208:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:209:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:210:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:214:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:215:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:216:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:217:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:218:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:219:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:220:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:225:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:226:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:227:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:228:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:236:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:237:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:241:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:242:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:246:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:247:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:251:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:252:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:255:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:263:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:264:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:268:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:269:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:272:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:279:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:280:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:284:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:285:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:286:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:294:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:295:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:299:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:300:36: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:303:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:304:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:312:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:313:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:317:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:318:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:321:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:325:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:327:31: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:330:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:335:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:336:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_byte_ctrl.v:339:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:160:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:166:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:167:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:168:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:169:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:170:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:171:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:172:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:173:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:181:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:182:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:183:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:187:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:188:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:189:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:194:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:195:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:196:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:197:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:204:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:206:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:210:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:215:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:217:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:218:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:264:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:265:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:266:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:267:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:271:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:272:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:273:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:274:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:278:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:279:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:280:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:281:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:287:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:289:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/i2c/rtl/i2c_master_top.v:291:19: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log b/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log index 3d97d0872f..96c913bf90 100644 --- a/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log +++ b/third_party/tests/YosysOldTests/sasc/YosysOldSasc.log @@ -74,21 +74,3 @@ ref_typespec 610 [ ERROR] : 0 [WARNING] : 4 [ NOTE] : 9 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:117:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:119:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:120:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:123:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:128:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:130:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:132:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:135:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:138:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:141:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:144:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:149:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:151:35: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:154:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/sasc/rtl/sasc_brg.v:157:12: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysOldTests/simple_spi/YosysOldSimpleSpi.log b/third_party/tests/YosysOldTests/simple_spi/YosysOldSimpleSpi.log index da784d0ca7..2c52092f99 100644 --- a/third_party/tests/YosysOldTests/simple_spi/YosysOldSimpleSpi.log +++ b/third_party/tests/YosysOldTests/simple_spi/YosysOldSimpleSpi.log @@ -79,72 +79,3 @@ task 9 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 6 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:95:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:97:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:99:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:105:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:107:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:109:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:118:29: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:126:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:128:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:130:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/fifo4.v:132:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:126:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:127:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:132:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:135:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:145:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:146:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:147:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:148:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:157:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:159:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:182:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:184:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:189:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:191:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:204:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:237:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:240:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:241:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:242:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:243:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:244:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:245:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:246:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:247:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:248:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:249:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:250:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:251:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:261:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:262:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:263:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:264:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:265:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:266:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:270:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:271:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:276:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:277:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:278:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:281:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:282:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:283:40: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:289:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:290:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:295:25: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:296:25: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:299:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:300:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:301:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:303:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:304:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:308:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:319:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:322:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/simple_spi/rtl/simple_spi_top.v:324:17: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysOldTests/spi/YosysOldSpi.log b/third_party/tests/YosysOldTests/spi/YosysOldSpi.log index baa29804b1..9bd7d88549 100644 --- a/third_party/tests/YosysOldTests/spi/YosysOldSpi.log +++ b/third_party/tests/YosysOldTests/spi/YosysOldSpi.log @@ -90,57 +90,3 @@ task 9 [ ERROR] : 0 [WARNING] : 3 [ NOTE] : 7 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:74:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:78:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:80:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:88:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:90:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:98:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:99:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:103:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_clgen.v:104:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:95:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:99:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:101:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:109:14: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:111:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:113:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:120:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:122:16: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:129:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:134:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:136:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:138:25: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:140:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:145:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:147:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:149:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:151:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:156:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:158:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:160:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:162:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:167:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:169:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:171:28: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:173:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_shift.v:234:34: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:145:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:147:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:154:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:156:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:166:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:168:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:170:19: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:177:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:186:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:188:33: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:215:15: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:219:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:221:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:224:18: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:239:13: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/spi/rtl/spi_top.v:244:17: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log index 2b206be114..84840d1eef 100644 --- a/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log +++ b/third_party/tests/YosysOldTests/ss_pcm/YosysOldSsPcm.log @@ -52,31 +52,3 @@ ref_typespec 81 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 6 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:122:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:125:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:128:12: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:139:30: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:142:22: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:145:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:148:17: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:151:11: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:161:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:164:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:167:20: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:169:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:171:23: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:174:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:176:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:178:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:183:21: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:195:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:198:27: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:202:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:205:9: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:210:26: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:212:32: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:215:24: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysOldTests/ss_pcm/rtl/pcm_slv_top.v:217:45: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/YosysSmall/YosysSmall.log b/third_party/tests/YosysSmall/YosysSmall.log index 17cbb50eb9..99d85a5b6c 100644 --- a/third_party/tests/YosysSmall/YosysSmall.log +++ b/third_party/tests/YosysSmall/YosysSmall.log @@ -108,10 +108,3 @@ task 9 [ ERROR] : 1 [WARNING] : 6 [ NOTE] : 9 - -============================== Begin Linting Results ============================== -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysSmall/cic5_tb.v:17:8: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysSmall/cic5_tb.v:27:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysSmall/cic5_tb.v:28:5: Non synthesizable construct, -[LINT]: ${SURELOG_DIR}/third_party/tests/YosysSmall/cic5_tb.v:29:5: Non synthesizable construct, -============================== End Linting Results ============================== diff --git a/third_party/tests/xgate/Xgate.log b/third_party/tests/xgate/Xgate.log index 196563fbdb..f4b06137cd 100644 --- a/third_party/tests/xgate/Xgate.log +++ b/third_party/tests/xgate/Xgate.log @@ -5569,8 +5569,3 @@ unsupported_typespec 160 [ ERROR] : 0 [WARNING] : 0 [ NOTE] : 87 - -============================== Begin Linting Results ============================== -[LINT]: :0:0: Unsupported typespec, -[LINT]: :0:0: Unsupported typespec, -============================== End Linting Results ==============================