From 0120e9034ad09a30e6d91afe6900b9a3fc5d8d97 Mon Sep 17 00:00:00 2001 From: Robert Szczepanski Date: Thu, 21 Dec 2023 11:21:24 +0100 Subject: [PATCH] Add missing DMI mux signals Internal-tag: [#52028] Signed-off-by: Robert Szczepanski --- design/dmi/dmi_mux.v | 1 + design/el2_veer_wrapper.sv | 16 ++++++---------- 2 files changed, 7 insertions(+), 10 deletions(-) diff --git a/design/dmi/dmi_mux.v b/design/dmi/dmi_mux.v index 5a212329158..b3b7ecb9c03 100644 --- a/design/dmi/dmi_mux.v +++ b/design/dmi/dmi_mux.v @@ -27,6 +27,7 @@ module dmi_mux ( output wire [31:0] dmi_uncore_wdata, input wire [31:0] dmi_uncore_rdata ); + logic is_uncore_aperture; // Uncore address decoder assign is_uncore_aperture = (dmi_addr[6] & (dmi_addr[5] | dmi_addr[4])); diff --git a/design/el2_veer_wrapper.sv b/design/el2_veer_wrapper.sv index c0b4430ce76..606763ebf73 100644 --- a/design/el2_veer_wrapper.sv +++ b/design/el2_veer_wrapper.sv @@ -333,11 +333,12 @@ import el2_pkg::*; input logic mbist_mode, // to enable mbist // DMI port for uncore + input logic dmi_uncore_enable, output logic dmi_uncore_en, output logic dmi_uncore_wr_en, - output logic dmi_uncore_addr, - output logic dmi_uncore_wdata, - input logic dmi_uncore_rdata + output logic [ 6:0] dmi_uncore_addr, + output logic [31:0] dmi_uncore_wdata, + input logic [31:0] dmi_uncore_rdata ); logic active_l2clk; @@ -699,13 +700,6 @@ import el2_pkg::*; logic [31:0] dmi_reg_wdata; logic [31:0] dmi_reg_rdata; - // DMI (uncore) - logic dmi_uncore_en; - logic [6:0] dmi_uncore_addr; - logic dmi_uncore_wr_en; - logic [31:0] dmi_uncore_wdata; - logic [31:0] dmi_uncore_rdata; - // Instantiate the el2_veer core el2_veer #(.pt(pt)) veer ( .clk(clk), @@ -743,6 +737,8 @@ import el2_pkg::*; // DMI core/uncore mux dmi_mux dmi_mux ( + .uncore_enable (dmi_uncore_enable), + .dmi_en (dmi_en), .dmi_wr_en (dmi_wr_en), .dmi_addr (dmi_addr),