diff --git a/packages/colibri/src/config/config_declaration.ts b/packages/colibri/src/config/config_declaration.ts index 11fa7a0c..bf0c0f44 100644 --- a/packages/colibri/src/config/config_declaration.ts +++ b/packages/colibri/src/config/config_declaration.ts @@ -87,7 +87,6 @@ export type e_config = { } }; export type e_general_general = { - logging : boolean, pypath : string, makepath : string, go_to_definition_vhdl : boolean, @@ -780,7 +779,6 @@ export function get_default_config(): e_config { return { general: { general: { - logging : true, pypath : "", makepath : "", go_to_definition_vhdl : true, @@ -1131,1796 +1129,1790 @@ export function get_default_config(): e_config { export function get_config_from_json(json_config: any): e_config { const default_config = get_default_config(); - // general -> general -> logging - const current_value_0 = json_config['general']['general']['logging']; - if (current_value_0 === true || current_value_0 === false){ - default_config['general']['general']['logging'] = current_value_0; - } - // general -> general -> pypath - const current_value_1 = json_config['general']['general']['pypath']; - if (typeof current_value_1 === 'string'){ - default_config['general']['general']['pypath'] = current_value_1; + const current_value_0 = json_config['general']['general']['pypath']; + if (typeof current_value_0 === 'string'){ + default_config['general']['general']['pypath'] = current_value_0; } // general -> general -> makepath - const current_value_2 = json_config['general']['general']['makepath']; - if (typeof current_value_2 === 'string'){ - default_config['general']['general']['makepath'] = current_value_2; + const current_value_1 = json_config['general']['general']['makepath']; + if (typeof current_value_1 === 'string'){ + default_config['general']['general']['makepath'] = current_value_1; } // general -> general -> go_to_definition_vhdl - const current_value_3 = json_config['general']['general']['go_to_definition_vhdl']; - if (current_value_3 === true || current_value_3 === false){ - default_config['general']['general']['go_to_definition_vhdl'] = current_value_3; + const current_value_2 = json_config['general']['general']['go_to_definition_vhdl']; + if (current_value_2 === true || current_value_2 === false){ + default_config['general']['general']['go_to_definition_vhdl'] = current_value_2; } // general -> general -> go_to_definition_verilog - const current_value_4 = json_config['general']['general']['go_to_definition_verilog']; - if (current_value_4 === true || current_value_4 === false){ - default_config['general']['general']['go_to_definition_verilog'] = current_value_4; + const current_value_3 = json_config['general']['general']['go_to_definition_verilog']; + if (current_value_3 === true || current_value_3 === false){ + default_config['general']['general']['go_to_definition_verilog'] = current_value_3; } // general -> general -> developer_mode - const current_value_5 = json_config['general']['general']['developer_mode']; - if (current_value_5 === true || current_value_5 === false){ - default_config['general']['general']['developer_mode'] = current_value_5; + const current_value_4 = json_config['general']['general']['developer_mode']; + if (current_value_4 === true || current_value_4 === false){ + default_config['general']['general']['developer_mode'] = current_value_4; } // documentation -> general -> language - const current_value_6 = json_config['documentation']['general']['language']; - if ( current_value_6 === "english"){ + const current_value_5 = json_config['documentation']['general']['language']; + if ( current_value_5 === "english"){ default_config['documentation']['general']['language'] = e_documentation_general_language.english; } - if ( current_value_6 === "russian"){ + if ( current_value_5 === "russian"){ default_config['documentation']['general']['language'] = e_documentation_general_language.russian; } // documentation -> general -> symbol_vhdl - const current_value_7 = json_config['documentation']['general']['symbol_vhdl']; - if (typeof current_value_7 === 'string'){ - default_config['documentation']['general']['symbol_vhdl'] = current_value_7; + const current_value_6 = json_config['documentation']['general']['symbol_vhdl']; + if (typeof current_value_6 === 'string'){ + default_config['documentation']['general']['symbol_vhdl'] = current_value_6; } // documentation -> general -> symbol_verilog - const current_value_8 = json_config['documentation']['general']['symbol_verilog']; - if (typeof current_value_8 === 'string'){ - default_config['documentation']['general']['symbol_verilog'] = current_value_8; + const current_value_7 = json_config['documentation']['general']['symbol_verilog']; + if (typeof current_value_7 === 'string'){ + default_config['documentation']['general']['symbol_verilog'] = current_value_7; } // documentation -> general -> dependency_graph - const current_value_9 = json_config['documentation']['general']['dependency_graph']; - if (current_value_9 === true || current_value_9 === false){ - default_config['documentation']['general']['dependency_graph'] = current_value_9; + const current_value_8 = json_config['documentation']['general']['dependency_graph']; + if (current_value_8 === true || current_value_8 === false){ + default_config['documentation']['general']['dependency_graph'] = current_value_8; } // documentation -> general -> self_contained - const current_value_10 = json_config['documentation']['general']['self_contained']; - if (current_value_10 === true || current_value_10 === false){ - default_config['documentation']['general']['self_contained'] = current_value_10; + const current_value_9 = json_config['documentation']['general']['self_contained']; + if (current_value_9 === true || current_value_9 === false){ + default_config['documentation']['general']['self_contained'] = current_value_9; } // documentation -> general -> fsm - const current_value_11 = json_config['documentation']['general']['fsm']; - if (current_value_11 === true || current_value_11 === false){ - default_config['documentation']['general']['fsm'] = current_value_11; + const current_value_10 = json_config['documentation']['general']['fsm']; + if (current_value_10 === true || current_value_10 === false){ + default_config['documentation']['general']['fsm'] = current_value_10; } // documentation -> general -> ports - const current_value_12 = json_config['documentation']['general']['ports']; - if ( current_value_12 === "all"){ + const current_value_11 = json_config['documentation']['general']['ports']; + if ( current_value_11 === "all"){ default_config['documentation']['general']['ports'] = e_documentation_general_ports.all; } - if ( current_value_12 === "only_commented"){ + if ( current_value_11 === "only_commented"){ default_config['documentation']['general']['ports'] = e_documentation_general_ports.only_commented; } - if ( current_value_12 === "none"){ + if ( current_value_11 === "none"){ default_config['documentation']['general']['ports'] = e_documentation_general_ports.none; } // documentation -> general -> generics - const current_value_13 = json_config['documentation']['general']['generics']; - if ( current_value_13 === "all"){ + const current_value_12 = json_config['documentation']['general']['generics']; + if ( current_value_12 === "all"){ default_config['documentation']['general']['generics'] = e_documentation_general_generics.all; } - if ( current_value_13 === "only_commented"){ + if ( current_value_12 === "only_commented"){ default_config['documentation']['general']['generics'] = e_documentation_general_generics.only_commented; } - if ( current_value_13 === "none"){ + if ( current_value_12 === "none"){ default_config['documentation']['general']['generics'] = e_documentation_general_generics.none; } // documentation -> general -> instantiations - const current_value_14 = json_config['documentation']['general']['instantiations']; - if ( current_value_14 === "all"){ + const current_value_13 = json_config['documentation']['general']['instantiations']; + if ( current_value_13 === "all"){ default_config['documentation']['general']['instantiations'] = e_documentation_general_instantiations.all; } - if ( current_value_14 === "only_commented"){ + if ( current_value_13 === "only_commented"){ default_config['documentation']['general']['instantiations'] = e_documentation_general_instantiations.only_commented; } - if ( current_value_14 === "none"){ + if ( current_value_13 === "none"){ default_config['documentation']['general']['instantiations'] = e_documentation_general_instantiations.none; } // documentation -> general -> signals - const current_value_15 = json_config['documentation']['general']['signals']; - if ( current_value_15 === "all"){ + const current_value_14 = json_config['documentation']['general']['signals']; + if ( current_value_14 === "all"){ default_config['documentation']['general']['signals'] = e_documentation_general_signals.all; } - if ( current_value_15 === "only_commented"){ + if ( current_value_14 === "only_commented"){ default_config['documentation']['general']['signals'] = e_documentation_general_signals.only_commented; } - if ( current_value_15 === "none"){ + if ( current_value_14 === "none"){ default_config['documentation']['general']['signals'] = e_documentation_general_signals.none; } // documentation -> general -> constants - const current_value_16 = json_config['documentation']['general']['constants']; - if ( current_value_16 === "all"){ + const current_value_15 = json_config['documentation']['general']['constants']; + if ( current_value_15 === "all"){ default_config['documentation']['general']['constants'] = e_documentation_general_constants.all; } - if ( current_value_16 === "only_commented"){ + if ( current_value_15 === "only_commented"){ default_config['documentation']['general']['constants'] = e_documentation_general_constants.only_commented; } - if ( current_value_16 === "none"){ + if ( current_value_15 === "none"){ default_config['documentation']['general']['constants'] = e_documentation_general_constants.none; } // documentation -> general -> types - const current_value_17 = json_config['documentation']['general']['types']; - if ( current_value_17 === "all"){ + const current_value_16 = json_config['documentation']['general']['types']; + if ( current_value_16 === "all"){ default_config['documentation']['general']['types'] = e_documentation_general_types.all; } - if ( current_value_17 === "only_commented"){ + if ( current_value_16 === "only_commented"){ default_config['documentation']['general']['types'] = e_documentation_general_types.only_commented; } - if ( current_value_17 === "none"){ + if ( current_value_16 === "none"){ default_config['documentation']['general']['types'] = e_documentation_general_types.none; } // documentation -> general -> process - const current_value_18 = json_config['documentation']['general']['process']; - if ( current_value_18 === "all"){ + const current_value_17 = json_config['documentation']['general']['process']; + if ( current_value_17 === "all"){ default_config['documentation']['general']['process'] = e_documentation_general_process.all; } - if ( current_value_18 === "only_commented"){ + if ( current_value_17 === "only_commented"){ default_config['documentation']['general']['process'] = e_documentation_general_process.only_commented; } - if ( current_value_18 === "none"){ + if ( current_value_17 === "none"){ default_config['documentation']['general']['process'] = e_documentation_general_process.none; } // documentation -> general -> functions - const current_value_19 = json_config['documentation']['general']['functions']; - if ( current_value_19 === "all"){ + const current_value_18 = json_config['documentation']['general']['functions']; + if ( current_value_18 === "all"){ default_config['documentation']['general']['functions'] = e_documentation_general_functions.all; } - if ( current_value_19 === "only_commented"){ + if ( current_value_18 === "only_commented"){ default_config['documentation']['general']['functions'] = e_documentation_general_functions.only_commented; } - if ( current_value_19 === "none"){ + if ( current_value_18 === "none"){ default_config['documentation']['general']['functions'] = e_documentation_general_functions.none; } // documentation -> general -> magic_config_path - const current_value_20 = json_config['documentation']['general']['magic_config_path']; - if (typeof current_value_20 === 'string'){ - default_config['documentation']['general']['magic_config_path'] = current_value_20; + const current_value_19 = json_config['documentation']['general']['magic_config_path']; + if (typeof current_value_19 === 'string'){ + default_config['documentation']['general']['magic_config_path'] = current_value_19; } // editor -> general -> stutter_comment_shortcuts - const current_value_21 = json_config['editor']['general']['stutter_comment_shortcuts']; - if (current_value_21 === true || current_value_21 === false){ - default_config['editor']['general']['stutter_comment_shortcuts'] = current_value_21; + const current_value_20 = json_config['editor']['general']['stutter_comment_shortcuts']; + if (current_value_20 === true || current_value_20 === false){ + default_config['editor']['general']['stutter_comment_shortcuts'] = current_value_20; } // editor -> general -> stutter_block_width - const current_value_22 = json_config['editor']['general']['stutter_block_width']; - if (typeof current_value_22 === 'number'){ - default_config['editor']['general']['stutter_block_width'] = current_value_22; + const current_value_21 = json_config['editor']['general']['stutter_block_width']; + if (typeof current_value_21 === 'number'){ + default_config['editor']['general']['stutter_block_width'] = current_value_21; } // editor -> general -> stutter_max_width - const current_value_23 = json_config['editor']['general']['stutter_max_width']; - if (typeof current_value_23 === 'number'){ - default_config['editor']['general']['stutter_max_width'] = current_value_23; + const current_value_22 = json_config['editor']['general']['stutter_max_width']; + if (typeof current_value_22 === 'number'){ + default_config['editor']['general']['stutter_max_width'] = current_value_22; } // editor -> general -> stutter_delimiters - const current_value_24 = json_config['editor']['general']['stutter_delimiters']; - if (current_value_24 === true || current_value_24 === false){ - default_config['editor']['general']['stutter_delimiters'] = current_value_24; + const current_value_23 = json_config['editor']['general']['stutter_delimiters']; + if (current_value_23 === true || current_value_23 === false){ + default_config['editor']['general']['stutter_delimiters'] = current_value_23; } // editor -> general -> stutter_bracket_shortcuts - const current_value_25 = json_config['editor']['general']['stutter_bracket_shortcuts']; - if (current_value_25 === true || current_value_25 === false){ - default_config['editor']['general']['stutter_bracket_shortcuts'] = current_value_25; + const current_value_24 = json_config['editor']['general']['stutter_bracket_shortcuts']; + if (current_value_24 === true || current_value_24 === false){ + default_config['editor']['general']['stutter_bracket_shortcuts'] = current_value_24; } // formatter -> general -> formatter_verilog - const current_value_26 = json_config['formatter']['general']['formatter_verilog']; - if ( current_value_26 === "istyle"){ + const current_value_25 = json_config['formatter']['general']['formatter_verilog']; + if ( current_value_25 === "istyle"){ default_config['formatter']['general']['formatter_verilog'] = e_formatter_general_formatter_verilog.istyle; } - if ( current_value_26 === "s3sv"){ + if ( current_value_25 === "s3sv"){ default_config['formatter']['general']['formatter_verilog'] = e_formatter_general_formatter_verilog.s3sv; } - if ( current_value_26 === "verible"){ + if ( current_value_25 === "verible"){ default_config['formatter']['general']['formatter_verilog'] = e_formatter_general_formatter_verilog.verible; } // formatter -> general -> formatter_vhdl - const current_value_27 = json_config['formatter']['general']['formatter_vhdl']; - if ( current_value_27 === "standalone"){ + const current_value_26 = json_config['formatter']['general']['formatter_vhdl']; + if ( current_value_26 === "standalone"){ default_config['formatter']['general']['formatter_vhdl'] = e_formatter_general_formatter_vhdl.standalone; } - if ( current_value_27 === "vsg"){ + if ( current_value_26 === "vsg"){ default_config['formatter']['general']['formatter_vhdl'] = e_formatter_general_formatter_vhdl.vsg; } // formatter -> istyle -> style - const current_value_28 = json_config['formatter']['istyle']['style']; - if ( current_value_28 === "ansi"){ + const current_value_27 = json_config['formatter']['istyle']['style']; + if ( current_value_27 === "ansi"){ default_config['formatter']['istyle']['style'] = e_formatter_istyle_style.ansi; } - if ( current_value_28 === "kr"){ + if ( current_value_27 === "kr"){ default_config['formatter']['istyle']['style'] = e_formatter_istyle_style.kr; } - if ( current_value_28 === "gnu"){ + if ( current_value_27 === "gnu"){ default_config['formatter']['istyle']['style'] = e_formatter_istyle_style.gnu; } - if ( current_value_28 === "indent_only"){ + if ( current_value_27 === "indent_only"){ default_config['formatter']['istyle']['style'] = e_formatter_istyle_style.indent_only; } // formatter -> istyle -> indentation_size - const current_value_29 = json_config['formatter']['istyle']['indentation_size']; - if (typeof current_value_29 === 'number'){ - default_config['formatter']['istyle']['indentation_size'] = current_value_29; + const current_value_28 = json_config['formatter']['istyle']['indentation_size']; + if (typeof current_value_28 === 'number'){ + default_config['formatter']['istyle']['indentation_size'] = current_value_28; } // formatter -> s3sv -> one_bind_per_line - const current_value_30 = json_config['formatter']['s3sv']['one_bind_per_line']; - if (current_value_30 === true || current_value_30 === false){ - default_config['formatter']['s3sv']['one_bind_per_line'] = current_value_30; + const current_value_29 = json_config['formatter']['s3sv']['one_bind_per_line']; + if (current_value_29 === true || current_value_29 === false){ + default_config['formatter']['s3sv']['one_bind_per_line'] = current_value_29; } // formatter -> s3sv -> one_declaration_per_line - const current_value_31 = json_config['formatter']['s3sv']['one_declaration_per_line']; - if (current_value_31 === true || current_value_31 === false){ - default_config['formatter']['s3sv']['one_declaration_per_line'] = current_value_31; + const current_value_30 = json_config['formatter']['s3sv']['one_declaration_per_line']; + if (current_value_30 === true || current_value_30 === false){ + default_config['formatter']['s3sv']['one_declaration_per_line'] = current_value_30; } // formatter -> s3sv -> use_tabs - const current_value_32 = json_config['formatter']['s3sv']['use_tabs']; - if (current_value_32 === true || current_value_32 === false){ - default_config['formatter']['s3sv']['use_tabs'] = current_value_32; + const current_value_31 = json_config['formatter']['s3sv']['use_tabs']; + if (current_value_31 === true || current_value_31 === false){ + default_config['formatter']['s3sv']['use_tabs'] = current_value_31; } // formatter -> s3sv -> indentation_size - const current_value_33 = json_config['formatter']['s3sv']['indentation_size']; - if (typeof current_value_33 === 'number'){ - default_config['formatter']['s3sv']['indentation_size'] = current_value_33; + const current_value_32 = json_config['formatter']['s3sv']['indentation_size']; + if (typeof current_value_32 === 'number'){ + default_config['formatter']['s3sv']['indentation_size'] = current_value_32; } // formatter -> verible -> format_args - const current_value_34 = json_config['formatter']['verible']['format_args']; - if (typeof current_value_34 === 'string'){ - default_config['formatter']['verible']['format_args'] = current_value_34; + const current_value_33 = json_config['formatter']['verible']['format_args']; + if (typeof current_value_33 === 'string'){ + default_config['formatter']['verible']['format_args'] = current_value_33; } // formatter -> standalone -> keyword_case - const current_value_35 = json_config['formatter']['standalone']['keyword_case']; - if ( current_value_35 === "lowercase"){ + const current_value_34 = json_config['formatter']['standalone']['keyword_case']; + if ( current_value_34 === "lowercase"){ default_config['formatter']['standalone']['keyword_case'] = e_formatter_standalone_keyword_case.lowercase; } - if ( current_value_35 === "uppercase"){ + if ( current_value_34 === "uppercase"){ default_config['formatter']['standalone']['keyword_case'] = e_formatter_standalone_keyword_case.uppercase; } // formatter -> standalone -> name_case - const current_value_36 = json_config['formatter']['standalone']['name_case']; - if ( current_value_36 === "lowercase"){ + const current_value_35 = json_config['formatter']['standalone']['name_case']; + if ( current_value_35 === "lowercase"){ default_config['formatter']['standalone']['name_case'] = e_formatter_standalone_name_case.lowercase; } - if ( current_value_36 === "uppercase"){ + if ( current_value_35 === "uppercase"){ default_config['formatter']['standalone']['name_case'] = e_formatter_standalone_name_case.uppercase; } // formatter -> standalone -> indentation - const current_value_37 = json_config['formatter']['standalone']['indentation']; - if (typeof current_value_37 === 'string'){ - default_config['formatter']['standalone']['indentation'] = current_value_37; + const current_value_36 = json_config['formatter']['standalone']['indentation']; + if (typeof current_value_36 === 'string'){ + default_config['formatter']['standalone']['indentation'] = current_value_36; } // formatter -> standalone -> align_port_generic - const current_value_38 = json_config['formatter']['standalone']['align_port_generic']; - if (current_value_38 === true || current_value_38 === false){ - default_config['formatter']['standalone']['align_port_generic'] = current_value_38; + const current_value_37 = json_config['formatter']['standalone']['align_port_generic']; + if (current_value_37 === true || current_value_37 === false){ + default_config['formatter']['standalone']['align_port_generic'] = current_value_37; } // formatter -> standalone -> align_comment - const current_value_39 = json_config['formatter']['standalone']['align_comment']; - if (current_value_39 === true || current_value_39 === false){ - default_config['formatter']['standalone']['align_comment'] = current_value_39; + const current_value_38 = json_config['formatter']['standalone']['align_comment']; + if (current_value_38 === true || current_value_38 === false){ + default_config['formatter']['standalone']['align_comment'] = current_value_38; } // formatter -> standalone -> remove_comments - const current_value_40 = json_config['formatter']['standalone']['remove_comments']; - if (current_value_40 === true || current_value_40 === false){ - default_config['formatter']['standalone']['remove_comments'] = current_value_40; + const current_value_39 = json_config['formatter']['standalone']['remove_comments']; + if (current_value_39 === true || current_value_39 === false){ + default_config['formatter']['standalone']['remove_comments'] = current_value_39; } // formatter -> standalone -> remove_reports - const current_value_41 = json_config['formatter']['standalone']['remove_reports']; - if (current_value_41 === true || current_value_41 === false){ - default_config['formatter']['standalone']['remove_reports'] = current_value_41; + const current_value_40 = json_config['formatter']['standalone']['remove_reports']; + if (current_value_40 === true || current_value_40 === false){ + default_config['formatter']['standalone']['remove_reports'] = current_value_40; } // formatter -> standalone -> check_alias - const current_value_42 = json_config['formatter']['standalone']['check_alias']; - if (current_value_42 === true || current_value_42 === false){ - default_config['formatter']['standalone']['check_alias'] = current_value_42; + const current_value_41 = json_config['formatter']['standalone']['check_alias']; + if (current_value_41 === true || current_value_41 === false){ + default_config['formatter']['standalone']['check_alias'] = current_value_41; } // formatter -> standalone -> new_line_after_then - const current_value_43 = json_config['formatter']['standalone']['new_line_after_then']; - if ( current_value_43 === "new_line"){ + const current_value_42 = json_config['formatter']['standalone']['new_line_after_then']; + if ( current_value_42 === "new_line"){ default_config['formatter']['standalone']['new_line_after_then'] = e_formatter_standalone_new_line_after_then.new_line; } - if ( current_value_43 === "no_new_line"){ + if ( current_value_42 === "no_new_line"){ default_config['formatter']['standalone']['new_line_after_then'] = e_formatter_standalone_new_line_after_then.no_new_line; } - if ( current_value_43 === "none"){ + if ( current_value_42 === "none"){ default_config['formatter']['standalone']['new_line_after_then'] = e_formatter_standalone_new_line_after_then.none; } // formatter -> standalone -> new_line_after_semicolon - const current_value_44 = json_config['formatter']['standalone']['new_line_after_semicolon']; - if ( current_value_44 === "new_line"){ + const current_value_43 = json_config['formatter']['standalone']['new_line_after_semicolon']; + if ( current_value_43 === "new_line"){ default_config['formatter']['standalone']['new_line_after_semicolon'] = e_formatter_standalone_new_line_after_semicolon.new_line; } - if ( current_value_44 === "no_new_line"){ + if ( current_value_43 === "no_new_line"){ default_config['formatter']['standalone']['new_line_after_semicolon'] = e_formatter_standalone_new_line_after_semicolon.no_new_line; } - if ( current_value_44 === "none"){ + if ( current_value_43 === "none"){ default_config['formatter']['standalone']['new_line_after_semicolon'] = e_formatter_standalone_new_line_after_semicolon.none; } // formatter -> standalone -> new_line_after_else - const current_value_45 = json_config['formatter']['standalone']['new_line_after_else']; - if ( current_value_45 === "new_line"){ + const current_value_44 = json_config['formatter']['standalone']['new_line_after_else']; + if ( current_value_44 === "new_line"){ default_config['formatter']['standalone']['new_line_after_else'] = e_formatter_standalone_new_line_after_else.new_line; } - if ( current_value_45 === "no_new_line"){ + if ( current_value_44 === "no_new_line"){ default_config['formatter']['standalone']['new_line_after_else'] = e_formatter_standalone_new_line_after_else.no_new_line; } - if ( current_value_45 === "none"){ + if ( current_value_44 === "none"){ default_config['formatter']['standalone']['new_line_after_else'] = e_formatter_standalone_new_line_after_else.none; } // formatter -> standalone -> new_line_after_port - const current_value_46 = json_config['formatter']['standalone']['new_line_after_port']; - if ( current_value_46 === "new_line"){ + const current_value_45 = json_config['formatter']['standalone']['new_line_after_port']; + if ( current_value_45 === "new_line"){ default_config['formatter']['standalone']['new_line_after_port'] = e_formatter_standalone_new_line_after_port.new_line; } - if ( current_value_46 === "no_new_line"){ + if ( current_value_45 === "no_new_line"){ default_config['formatter']['standalone']['new_line_after_port'] = e_formatter_standalone_new_line_after_port.no_new_line; } - if ( current_value_46 === "none"){ + if ( current_value_45 === "none"){ default_config['formatter']['standalone']['new_line_after_port'] = e_formatter_standalone_new_line_after_port.none; } // formatter -> standalone -> new_line_after_generic - const current_value_47 = json_config['formatter']['standalone']['new_line_after_generic']; - if ( current_value_47 === "new_line"){ + const current_value_46 = json_config['formatter']['standalone']['new_line_after_generic']; + if ( current_value_46 === "new_line"){ default_config['formatter']['standalone']['new_line_after_generic'] = e_formatter_standalone_new_line_after_generic.new_line; } - if ( current_value_47 === "no_new_line"){ + if ( current_value_46 === "no_new_line"){ default_config['formatter']['standalone']['new_line_after_generic'] = e_formatter_standalone_new_line_after_generic.no_new_line; } - if ( current_value_47 === "none"){ + if ( current_value_46 === "none"){ default_config['formatter']['standalone']['new_line_after_generic'] = e_formatter_standalone_new_line_after_generic.none; } // formatter -> svg -> configuration - const current_value_48 = json_config['formatter']['svg']['configuration']; - if (typeof current_value_48 === 'string'){ - default_config['formatter']['svg']['configuration'] = current_value_48; + const current_value_47 = json_config['formatter']['svg']['configuration']; + if (typeof current_value_47 === 'string'){ + default_config['formatter']['svg']['configuration'] = current_value_47; } // formatter -> svg -> core_number - const current_value_49 = json_config['formatter']['svg']['core_number']; - if (typeof current_value_49 === 'number'){ - default_config['formatter']['svg']['core_number'] = current_value_49; + const current_value_48 = json_config['formatter']['svg']['core_number']; + if (typeof current_value_48 === 'number'){ + default_config['formatter']['svg']['core_number'] = current_value_48; } // formatter -> svg -> aditional_arguments - const current_value_50 = json_config['formatter']['svg']['aditional_arguments']; - if (typeof current_value_50 === 'string'){ - default_config['formatter']['svg']['aditional_arguments'] = current_value_50; + const current_value_49 = json_config['formatter']['svg']['aditional_arguments']; + if (typeof current_value_49 === 'string'){ + default_config['formatter']['svg']['aditional_arguments'] = current_value_49; } // linter -> general -> linter_vhdl - const current_value_51 = json_config['linter']['general']['linter_vhdl']; - if ( current_value_51 === "disabled"){ + const current_value_50 = json_config['linter']['general']['linter_vhdl']; + if ( current_value_50 === "disabled"){ default_config['linter']['general']['linter_vhdl'] = e_linter_general_linter_vhdl.disabled; } - if ( current_value_51 === "ghdl"){ + if ( current_value_50 === "ghdl"){ default_config['linter']['general']['linter_vhdl'] = e_linter_general_linter_vhdl.ghdl; } - if ( current_value_51 === "modelsim"){ + if ( current_value_50 === "modelsim"){ default_config['linter']['general']['linter_vhdl'] = e_linter_general_linter_vhdl.modelsim; } - if ( current_value_51 === "vivado"){ + if ( current_value_50 === "vivado"){ default_config['linter']['general']['linter_vhdl'] = e_linter_general_linter_vhdl.vivado; } - if ( current_value_51 === "none"){ + if ( current_value_50 === "none"){ default_config['linter']['general']['linter_vhdl'] = e_linter_general_linter_vhdl.none; } // linter -> general -> linter_verilog - const current_value_52 = json_config['linter']['general']['linter_verilog']; - if ( current_value_52 === "disabled"){ + const current_value_51 = json_config['linter']['general']['linter_verilog']; + if ( current_value_51 === "disabled"){ default_config['linter']['general']['linter_verilog'] = e_linter_general_linter_verilog.disabled; } - if ( current_value_52 === "icarus"){ + if ( current_value_51 === "icarus"){ default_config['linter']['general']['linter_verilog'] = e_linter_general_linter_verilog.icarus; } - if ( current_value_52 === "modelsim"){ + if ( current_value_51 === "modelsim"){ default_config['linter']['general']['linter_verilog'] = e_linter_general_linter_verilog.modelsim; } - if ( current_value_52 === "verilator"){ + if ( current_value_51 === "verilator"){ default_config['linter']['general']['linter_verilog'] = e_linter_general_linter_verilog.verilator; } - if ( current_value_52 === "vivado"){ + if ( current_value_51 === "vivado"){ default_config['linter']['general']['linter_verilog'] = e_linter_general_linter_verilog.vivado; } // linter -> general -> lstyle_verilog - const current_value_53 = json_config['linter']['general']['lstyle_verilog']; - if ( current_value_53 === "verible"){ + const current_value_52 = json_config['linter']['general']['lstyle_verilog']; + if ( current_value_52 === "verible"){ default_config['linter']['general']['lstyle_verilog'] = e_linter_general_lstyle_verilog.verible; } - if ( current_value_53 === "disabled"){ + if ( current_value_52 === "disabled"){ default_config['linter']['general']['lstyle_verilog'] = e_linter_general_lstyle_verilog.disabled; } // linter -> general -> lstyle_vhdl - const current_value_54 = json_config['linter']['general']['lstyle_vhdl']; - if ( current_value_54 === "vsg"){ + const current_value_53 = json_config['linter']['general']['lstyle_vhdl']; + if ( current_value_53 === "vsg"){ default_config['linter']['general']['lstyle_vhdl'] = e_linter_general_lstyle_vhdl.vsg; } - if ( current_value_54 === "disabled"){ + if ( current_value_53 === "disabled"){ default_config['linter']['general']['lstyle_vhdl'] = e_linter_general_lstyle_vhdl.disabled; } // linter -> ghdl -> arguments - const current_value_55 = json_config['linter']['ghdl']['arguments']; - if (typeof current_value_55 === 'string'){ - default_config['linter']['ghdl']['arguments'] = current_value_55; + const current_value_54 = json_config['linter']['ghdl']['arguments']; + if (typeof current_value_54 === 'string'){ + default_config['linter']['ghdl']['arguments'] = current_value_54; } // linter -> icarus -> arguments - const current_value_56 = json_config['linter']['icarus']['arguments']; - if (typeof current_value_56 === 'string'){ - default_config['linter']['icarus']['arguments'] = current_value_56; + const current_value_55 = json_config['linter']['icarus']['arguments']; + if (typeof current_value_55 === 'string'){ + default_config['linter']['icarus']['arguments'] = current_value_55; } // linter -> modelsim -> vhdl_arguments - const current_value_57 = json_config['linter']['modelsim']['vhdl_arguments']; - if (typeof current_value_57 === 'string'){ - default_config['linter']['modelsim']['vhdl_arguments'] = current_value_57; + const current_value_56 = json_config['linter']['modelsim']['vhdl_arguments']; + if (typeof current_value_56 === 'string'){ + default_config['linter']['modelsim']['vhdl_arguments'] = current_value_56; } // linter -> modelsim -> verilog_arguments - const current_value_58 = json_config['linter']['modelsim']['verilog_arguments']; - if (typeof current_value_58 === 'string'){ - default_config['linter']['modelsim']['verilog_arguments'] = current_value_58; + const current_value_57 = json_config['linter']['modelsim']['verilog_arguments']; + if (typeof current_value_57 === 'string'){ + default_config['linter']['modelsim']['verilog_arguments'] = current_value_57; } // linter -> verible -> arguments - const current_value_59 = json_config['linter']['verible']['arguments']; - if (typeof current_value_59 === 'string'){ - default_config['linter']['verible']['arguments'] = current_value_59; + const current_value_58 = json_config['linter']['verible']['arguments']; + if (typeof current_value_58 === 'string'){ + default_config['linter']['verible']['arguments'] = current_value_58; } // linter -> verilator -> arguments - const current_value_60 = json_config['linter']['verilator']['arguments']; - if (typeof current_value_60 === 'string'){ - default_config['linter']['verilator']['arguments'] = current_value_60; + const current_value_59 = json_config['linter']['verilator']['arguments']; + if (typeof current_value_59 === 'string'){ + default_config['linter']['verilator']['arguments'] = current_value_59; } // linter -> vivado -> vhdl_arguments - const current_value_61 = json_config['linter']['vivado']['vhdl_arguments']; - if (typeof current_value_61 === 'string'){ - default_config['linter']['vivado']['vhdl_arguments'] = current_value_61; + const current_value_60 = json_config['linter']['vivado']['vhdl_arguments']; + if (typeof current_value_60 === 'string'){ + default_config['linter']['vivado']['vhdl_arguments'] = current_value_60; } // linter -> vivado -> verilog_arguments - const current_value_62 = json_config['linter']['vivado']['verilog_arguments']; - if (typeof current_value_62 === 'string'){ - default_config['linter']['vivado']['verilog_arguments'] = current_value_62; + const current_value_61 = json_config['linter']['vivado']['verilog_arguments']; + if (typeof current_value_61 === 'string'){ + default_config['linter']['vivado']['verilog_arguments'] = current_value_61; } // linter -> vsg -> arguments - const current_value_63 = json_config['linter']['vsg']['arguments']; - if (typeof current_value_63 === 'string'){ - default_config['linter']['vsg']['arguments'] = current_value_63; + const current_value_62 = json_config['linter']['vsg']['arguments']; + if (typeof current_value_62 === 'string'){ + default_config['linter']['vsg']['arguments'] = current_value_62; } // schematic -> general -> backend - const current_value_64 = json_config['schematic']['general']['backend']; - if ( current_value_64 === "yowasp"){ + const current_value_63 = json_config['schematic']['general']['backend']; + if ( current_value_63 === "yowasp"){ default_config['schematic']['general']['backend'] = e_schematic_general_backend.yowasp; } - if ( current_value_64 === "yosys"){ + if ( current_value_63 === "yosys"){ default_config['schematic']['general']['backend'] = e_schematic_general_backend.yosys; } - if ( current_value_64 === "yosys_ghdl"){ + if ( current_value_63 === "yosys_ghdl"){ default_config['schematic']['general']['backend'] = e_schematic_general_backend.yosys_ghdl; } - if ( current_value_64 === "yosys_ghdl_module"){ + if ( current_value_63 === "yosys_ghdl_module"){ default_config['schematic']['general']['backend'] = e_schematic_general_backend.yosys_ghdl_module; } // schematic -> general -> extra - const current_value_65 = json_config['schematic']['general']['extra']; - if (typeof current_value_65 === 'string'){ - default_config['schematic']['general']['extra'] = current_value_65; + const current_value_64 = json_config['schematic']['general']['extra']; + if (typeof current_value_64 === 'string'){ + default_config['schematic']['general']['extra'] = current_value_64; } // schematic -> general -> args - const current_value_66 = json_config['schematic']['general']['args']; - if (typeof current_value_66 === 'string'){ - default_config['schematic']['general']['args'] = current_value_66; + const current_value_65 = json_config['schematic']['general']['args']; + if (typeof current_value_65 === 'string'){ + default_config['schematic']['general']['args'] = current_value_65; } // templates -> general -> header_file_path - const current_value_67 = json_config['templates']['general']['header_file_path']; - if (typeof current_value_67 === 'string'){ - default_config['templates']['general']['header_file_path'] = current_value_67; + const current_value_66 = json_config['templates']['general']['header_file_path']; + if (typeof current_value_66 === 'string'){ + default_config['templates']['general']['header_file_path'] = current_value_66; } // templates -> general -> indent - const current_value_68 = json_config['templates']['general']['indent']; - if (typeof current_value_68 === 'string'){ - default_config['templates']['general']['indent'] = current_value_68; + const current_value_67 = json_config['templates']['general']['indent']; + if (typeof current_value_67 === 'string'){ + default_config['templates']['general']['indent'] = current_value_67; } // templates -> general -> clock_generation_style - const current_value_69 = json_config['templates']['general']['clock_generation_style']; - if ( current_value_69 === "inline"){ + const current_value_68 = json_config['templates']['general']['clock_generation_style']; + if ( current_value_68 === "inline"){ default_config['templates']['general']['clock_generation_style'] = e_templates_general_clock_generation_style.inline; } - if ( current_value_69 === "ifelse"){ + if ( current_value_68 === "ifelse"){ default_config['templates']['general']['clock_generation_style'] = e_templates_general_clock_generation_style.ifelse; } // templates -> general -> instance_style - const current_value_70 = json_config['templates']['general']['instance_style']; - if ( current_value_70 === "inline"){ + const current_value_69 = json_config['templates']['general']['instance_style']; + if ( current_value_69 === "inline"){ default_config['templates']['general']['instance_style'] = e_templates_general_instance_style.inline; } - if ( current_value_70 === "separate"){ + if ( current_value_69 === "separate"){ default_config['templates']['general']['instance_style'] = e_templates_general_instance_style.separate; } // tools -> general -> select_tool - const current_value_71 = json_config['tools']['general']['select_tool']; - if ( current_value_71 === "osvvm"){ + const current_value_70 = json_config['tools']['general']['select_tool']; + if ( current_value_70 === "osvvm"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.osvvm; } - if ( current_value_71 === "vunit"){ + if ( current_value_70 === "vunit"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.vunit; } - if ( current_value_71 === "ghdl"){ + if ( current_value_70 === "ghdl"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.ghdl; } - if ( current_value_71 === "cocotb"){ + if ( current_value_70 === "cocotb"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.cocotb; } - if ( current_value_71 === "icarus"){ + if ( current_value_70 === "icarus"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.icarus; } - if ( current_value_71 === "icestorm"){ + if ( current_value_70 === "icestorm"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.icestorm; } - if ( current_value_71 === "ise"){ + if ( current_value_70 === "ise"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.ise; } - if ( current_value_71 === "isim"){ + if ( current_value_70 === "isim"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.isim; } - if ( current_value_71 === "modelsim"){ + if ( current_value_70 === "modelsim"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.modelsim; } - if ( current_value_71 === "openfpga"){ + if ( current_value_70 === "openfpga"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.openfpga; } - if ( current_value_71 === "quartus"){ + if ( current_value_70 === "quartus"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.quartus; } - if ( current_value_71 === "rivierapro"){ + if ( current_value_70 === "rivierapro"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.rivierapro; } - if ( current_value_71 === "spyglass"){ + if ( current_value_70 === "spyglass"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.spyglass; } - if ( current_value_71 === "trellis"){ + if ( current_value_70 === "trellis"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.trellis; } - if ( current_value_71 === "vcs"){ + if ( current_value_70 === "vcs"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.vcs; } - if ( current_value_71 === "verilator"){ + if ( current_value_70 === "verilator"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.verilator; } - if ( current_value_71 === "vivado"){ + if ( current_value_70 === "vivado"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.vivado; } - if ( current_value_71 === "xcelium"){ + if ( current_value_70 === "xcelium"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.xcelium; } - if ( current_value_71 === "xsim"){ + if ( current_value_70 === "xsim"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.xsim; } - if ( current_value_71 === "raptor"){ + if ( current_value_70 === "raptor"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.raptor; } - if ( current_value_71 === "radiant"){ + if ( current_value_70 === "radiant"){ default_config['tools']['general']['select_tool'] = e_tools_general_select_tool.radiant; } // tools -> general -> gtkwave_installation_path - const current_value_72 = json_config['tools']['general']['gtkwave_installation_path']; - if (typeof current_value_72 === 'string'){ - default_config['tools']['general']['gtkwave_installation_path'] = current_value_72; + const current_value_71 = json_config['tools']['general']['gtkwave_installation_path']; + if (typeof current_value_71 === 'string'){ + default_config['tools']['general']['gtkwave_installation_path'] = current_value_71; } // tools -> general -> gtkwave_extra_arguments - const current_value_73 = json_config['tools']['general']['gtkwave_extra_arguments']; - if (typeof current_value_73 === 'string'){ - default_config['tools']['general']['gtkwave_extra_arguments'] = current_value_73; + const current_value_72 = json_config['tools']['general']['gtkwave_extra_arguments']; + if (typeof current_value_72 === 'string'){ + default_config['tools']['general']['gtkwave_extra_arguments'] = current_value_72; } // tools -> general -> execution_mode - const current_value_74 = json_config['tools']['general']['execution_mode']; - if ( current_value_74 === "gui"){ + const current_value_73 = json_config['tools']['general']['execution_mode']; + if ( current_value_73 === "gui"){ default_config['tools']['general']['execution_mode'] = e_tools_general_execution_mode.gui; } - if ( current_value_74 === "cmd"){ + if ( current_value_73 === "cmd"){ default_config['tools']['general']['execution_mode'] = e_tools_general_execution_mode.cmd; } // tools -> general -> waveform_viewer - const current_value_75 = json_config['tools']['general']['waveform_viewer']; - if ( current_value_75 === "tool"){ + const current_value_74 = json_config['tools']['general']['waveform_viewer']; + if ( current_value_74 === "tool"){ default_config['tools']['general']['waveform_viewer'] = e_tools_general_waveform_viewer.tool; } - if ( current_value_75 === "gtkwave"){ + if ( current_value_74 === "gtkwave"){ default_config['tools']['general']['waveform_viewer'] = e_tools_general_waveform_viewer.gtkwave; } // tools -> osvvm -> installation_path - const current_value_76 = json_config['tools']['osvvm']['installation_path']; - if (typeof current_value_76 === 'string'){ - default_config['tools']['osvvm']['installation_path'] = current_value_76; + const current_value_75 = json_config['tools']['osvvm']['installation_path']; + if (typeof current_value_75 === 'string'){ + default_config['tools']['osvvm']['installation_path'] = current_value_75; } // tools -> osvvm -> tclsh_binary - const current_value_77 = json_config['tools']['osvvm']['tclsh_binary']; - if (typeof current_value_77 === 'string'){ - default_config['tools']['osvvm']['tclsh_binary'] = current_value_77; + const current_value_76 = json_config['tools']['osvvm']['tclsh_binary']; + if (typeof current_value_76 === 'string'){ + default_config['tools']['osvvm']['tclsh_binary'] = current_value_76; } // tools -> osvvm -> simulator_name - const current_value_78 = json_config['tools']['osvvm']['simulator_name']; - if ( current_value_78 === "activehdl"){ + const current_value_77 = json_config['tools']['osvvm']['simulator_name']; + if ( current_value_77 === "activehdl"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.activehdl; } - if ( current_value_78 === "ghdl"){ + if ( current_value_77 === "ghdl"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.ghdl; } - if ( current_value_78 === "nvc"){ + if ( current_value_77 === "nvc"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.nvc; } - if ( current_value_78 === "rivierapro"){ + if ( current_value_77 === "rivierapro"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.rivierapro; } - if ( current_value_78 === "questa"){ + if ( current_value_77 === "questa"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.questa; } - if ( current_value_78 === "modelsim"){ + if ( current_value_77 === "modelsim"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.modelsim; } - if ( current_value_78 === "vcs"){ + if ( current_value_77 === "vcs"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.vcs; } - if ( current_value_78 === "xsim"){ + if ( current_value_77 === "xsim"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.xsim; } - if ( current_value_78 === "xcelium"){ + if ( current_value_77 === "xcelium"){ default_config['tools']['osvvm']['simulator_name'] = e_tools_osvvm_simulator_name.xcelium; } // tools -> ascenlint -> installation_path - const current_value_79 = json_config['tools']['ascenlint']['installation_path']; - if (typeof current_value_79 === 'string'){ - default_config['tools']['ascenlint']['installation_path'] = current_value_79; + const current_value_78 = json_config['tools']['ascenlint']['installation_path']; + if (typeof current_value_78 === 'string'){ + default_config['tools']['ascenlint']['installation_path'] = current_value_78; } // tools -> ascenlint -> ascentlint_options - const current_value_80 = json_config['tools']['ascenlint']['ascentlint_options']; - if (Array.isArray(current_value_80)){ - default_config['tools']['ascenlint']['ascentlint_options'] = current_value_80; + const current_value_79 = json_config['tools']['ascenlint']['ascentlint_options']; + if (Array.isArray(current_value_79)){ + default_config['tools']['ascenlint']['ascentlint_options'] = current_value_79; } // tools -> cocotb -> installation_path - const current_value_81 = json_config['tools']['cocotb']['installation_path']; - if (typeof current_value_81 === 'string'){ - default_config['tools']['cocotb']['installation_path'] = current_value_81; + const current_value_80 = json_config['tools']['cocotb']['installation_path']; + if (typeof current_value_80 === 'string'){ + default_config['tools']['cocotb']['installation_path'] = current_value_80; } // tools -> cocotb -> simulator_name - const current_value_82 = json_config['tools']['cocotb']['simulator_name']; - if ( current_value_82 === "icarus"){ + const current_value_81 = json_config['tools']['cocotb']['simulator_name']; + if ( current_value_81 === "icarus"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.icarus; } - if ( current_value_82 === "verilator"){ + if ( current_value_81 === "verilator"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.verilator; } - if ( current_value_82 === "vcs"){ + if ( current_value_81 === "vcs"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.vcs; } - if ( current_value_82 === "riviera"){ + if ( current_value_81 === "riviera"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.riviera; } - if ( current_value_82 === "activehdl"){ + if ( current_value_81 === "activehdl"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.activehdl; } - if ( current_value_82 === "questa"){ + if ( current_value_81 === "questa"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.questa; } - if ( current_value_82 === "modelsim"){ + if ( current_value_81 === "modelsim"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.modelsim; } - if ( current_value_82 === "ius"){ + if ( current_value_81 === "ius"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.ius; } - if ( current_value_82 === "xcelium"){ + if ( current_value_81 === "xcelium"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.xcelium; } - if ( current_value_82 === "ghdl"){ + if ( current_value_81 === "ghdl"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.ghdl; } - if ( current_value_82 === "cvc"){ + if ( current_value_81 === "cvc"){ default_config['tools']['cocotb']['simulator_name'] = e_tools_cocotb_simulator_name.cvc; } // tools -> cocotb -> compile_args - const current_value_83 = json_config['tools']['cocotb']['compile_args']; - if (typeof current_value_83 === 'string'){ - default_config['tools']['cocotb']['compile_args'] = current_value_83; + const current_value_82 = json_config['tools']['cocotb']['compile_args']; + if (typeof current_value_82 === 'string'){ + default_config['tools']['cocotb']['compile_args'] = current_value_82; } // tools -> cocotb -> run_args - const current_value_84 = json_config['tools']['cocotb']['run_args']; - if (typeof current_value_84 === 'string'){ - default_config['tools']['cocotb']['run_args'] = current_value_84; + const current_value_83 = json_config['tools']['cocotb']['run_args']; + if (typeof current_value_83 === 'string'){ + default_config['tools']['cocotb']['run_args'] = current_value_83; } // tools -> cocotb -> plusargs - const current_value_85 = json_config['tools']['cocotb']['plusargs']; - if (typeof current_value_85 === 'string'){ - default_config['tools']['cocotb']['plusargs'] = current_value_85; + const current_value_84 = json_config['tools']['cocotb']['plusargs']; + if (typeof current_value_84 === 'string'){ + default_config['tools']['cocotb']['plusargs'] = current_value_84; } // tools -> diamond -> installation_path - const current_value_86 = json_config['tools']['diamond']['installation_path']; - if (typeof current_value_86 === 'string'){ - default_config['tools']['diamond']['installation_path'] = current_value_86; + const current_value_85 = json_config['tools']['diamond']['installation_path']; + if (typeof current_value_85 === 'string'){ + default_config['tools']['diamond']['installation_path'] = current_value_85; } // tools -> diamond -> part - const current_value_87 = json_config['tools']['diamond']['part']; - if (typeof current_value_87 === 'string'){ - default_config['tools']['diamond']['part'] = current_value_87; + const current_value_86 = json_config['tools']['diamond']['part']; + if (typeof current_value_86 === 'string'){ + default_config['tools']['diamond']['part'] = current_value_86; } // tools -> ghdl -> installation_path - const current_value_88 = json_config['tools']['ghdl']['installation_path']; - if (typeof current_value_88 === 'string'){ - default_config['tools']['ghdl']['installation_path'] = current_value_88; + const current_value_87 = json_config['tools']['ghdl']['installation_path']; + if (typeof current_value_87 === 'string'){ + default_config['tools']['ghdl']['installation_path'] = current_value_87; } // tools -> ghdl -> waveform - const current_value_89 = json_config['tools']['ghdl']['waveform']; - if ( current_value_89 === "vcd"){ + const current_value_88 = json_config['tools']['ghdl']['waveform']; + if ( current_value_88 === "vcd"){ default_config['tools']['ghdl']['waveform'] = e_tools_ghdl_waveform.vcd; } - if ( current_value_89 === "ghw"){ + if ( current_value_88 === "ghw"){ default_config['tools']['ghdl']['waveform'] = e_tools_ghdl_waveform.ghw; } // tools -> ghdl -> analyze_options - const current_value_90 = json_config['tools']['ghdl']['analyze_options']; - if (Array.isArray(current_value_90)){ - default_config['tools']['ghdl']['analyze_options'] = current_value_90; + const current_value_89 = json_config['tools']['ghdl']['analyze_options']; + if (Array.isArray(current_value_89)){ + default_config['tools']['ghdl']['analyze_options'] = current_value_89; } // tools -> ghdl -> run_options - const current_value_91 = json_config['tools']['ghdl']['run_options']; - if (Array.isArray(current_value_91)){ - default_config['tools']['ghdl']['run_options'] = current_value_91; + const current_value_90 = json_config['tools']['ghdl']['run_options']; + if (Array.isArray(current_value_90)){ + default_config['tools']['ghdl']['run_options'] = current_value_90; } // tools -> icarus -> installation_path - const current_value_92 = json_config['tools']['icarus']['installation_path']; - if (typeof current_value_92 === 'string'){ - default_config['tools']['icarus']['installation_path'] = current_value_92; + const current_value_91 = json_config['tools']['icarus']['installation_path']; + if (typeof current_value_91 === 'string'){ + default_config['tools']['icarus']['installation_path'] = current_value_91; } // tools -> icarus -> timescale - const current_value_93 = json_config['tools']['icarus']['timescale']; - if (typeof current_value_93 === 'string'){ - default_config['tools']['icarus']['timescale'] = current_value_93; + const current_value_92 = json_config['tools']['icarus']['timescale']; + if (typeof current_value_92 === 'string'){ + default_config['tools']['icarus']['timescale'] = current_value_92; } // tools -> icarus -> iverilog_options - const current_value_94 = json_config['tools']['icarus']['iverilog_options']; - if (Array.isArray(current_value_94)){ - default_config['tools']['icarus']['iverilog_options'] = current_value_94; + const current_value_93 = json_config['tools']['icarus']['iverilog_options']; + if (Array.isArray(current_value_93)){ + default_config['tools']['icarus']['iverilog_options'] = current_value_93; } // tools -> icestorm -> installation_path - const current_value_95 = json_config['tools']['icestorm']['installation_path']; - if (typeof current_value_95 === 'string'){ - default_config['tools']['icestorm']['installation_path'] = current_value_95; + const current_value_94 = json_config['tools']['icestorm']['installation_path']; + if (typeof current_value_94 === 'string'){ + default_config['tools']['icestorm']['installation_path'] = current_value_94; } // tools -> icestorm -> pnr - const current_value_96 = json_config['tools']['icestorm']['pnr']; - if ( current_value_96 === "arachne"){ + const current_value_95 = json_config['tools']['icestorm']['pnr']; + if ( current_value_95 === "arachne"){ default_config['tools']['icestorm']['pnr'] = e_tools_icestorm_pnr.arachne; } - if ( current_value_96 === "next"){ + if ( current_value_95 === "next"){ default_config['tools']['icestorm']['pnr'] = e_tools_icestorm_pnr.next; } - if ( current_value_96 === "none"){ + if ( current_value_95 === "none"){ default_config['tools']['icestorm']['pnr'] = e_tools_icestorm_pnr.none; } // tools -> icestorm -> arch - const current_value_97 = json_config['tools']['icestorm']['arch']; - if ( current_value_97 === "xilinx"){ + const current_value_96 = json_config['tools']['icestorm']['arch']; + if ( current_value_96 === "xilinx"){ default_config['tools']['icestorm']['arch'] = e_tools_icestorm_arch.xilinx; } - if ( current_value_97 === "ice40"){ + if ( current_value_96 === "ice40"){ default_config['tools']['icestorm']['arch'] = e_tools_icestorm_arch.ice40; } - if ( current_value_97 === "ecp5"){ + if ( current_value_96 === "ecp5"){ default_config['tools']['icestorm']['arch'] = e_tools_icestorm_arch.ecp5; } // tools -> icestorm -> output_format - const current_value_98 = json_config['tools']['icestorm']['output_format']; - if ( current_value_98 === "json"){ + const current_value_97 = json_config['tools']['icestorm']['output_format']; + if ( current_value_97 === "json"){ default_config['tools']['icestorm']['output_format'] = e_tools_icestorm_output_format.json; } - if ( current_value_98 === "edif"){ + if ( current_value_97 === "edif"){ default_config['tools']['icestorm']['output_format'] = e_tools_icestorm_output_format.edif; } - if ( current_value_98 === "blif"){ + if ( current_value_97 === "blif"){ default_config['tools']['icestorm']['output_format'] = e_tools_icestorm_output_format.blif; } // tools -> icestorm -> yosys_as_subtool - const current_value_99 = json_config['tools']['icestorm']['yosys_as_subtool']; - if (current_value_99 === true || current_value_99 === false){ - default_config['tools']['icestorm']['yosys_as_subtool'] = current_value_99; + const current_value_98 = json_config['tools']['icestorm']['yosys_as_subtool']; + if (current_value_98 === true || current_value_98 === false){ + default_config['tools']['icestorm']['yosys_as_subtool'] = current_value_98; } // tools -> icestorm -> makefile_name - const current_value_100 = json_config['tools']['icestorm']['makefile_name']; - if (typeof current_value_100 === 'string'){ - default_config['tools']['icestorm']['makefile_name'] = current_value_100; + const current_value_99 = json_config['tools']['icestorm']['makefile_name']; + if (typeof current_value_99 === 'string'){ + default_config['tools']['icestorm']['makefile_name'] = current_value_99; } // tools -> icestorm -> arachne_pnr_options - const current_value_101 = json_config['tools']['icestorm']['arachne_pnr_options']; - if (Array.isArray(current_value_101)){ - default_config['tools']['icestorm']['arachne_pnr_options'] = current_value_101; + const current_value_100 = json_config['tools']['icestorm']['arachne_pnr_options']; + if (Array.isArray(current_value_100)){ + default_config['tools']['icestorm']['arachne_pnr_options'] = current_value_100; } // tools -> icestorm -> nextpnr_options - const current_value_102 = json_config['tools']['icestorm']['nextpnr_options']; - if (Array.isArray(current_value_102)){ - default_config['tools']['icestorm']['nextpnr_options'] = current_value_102; + const current_value_101 = json_config['tools']['icestorm']['nextpnr_options']; + if (Array.isArray(current_value_101)){ + default_config['tools']['icestorm']['nextpnr_options'] = current_value_101; } // tools -> icestorm -> yosys_synth_options - const current_value_103 = json_config['tools']['icestorm']['yosys_synth_options']; - if (Array.isArray(current_value_103)){ - default_config['tools']['icestorm']['yosys_synth_options'] = current_value_103; + const current_value_102 = json_config['tools']['icestorm']['yosys_synth_options']; + if (Array.isArray(current_value_102)){ + default_config['tools']['icestorm']['yosys_synth_options'] = current_value_102; } // tools -> ise -> installation_path - const current_value_104 = json_config['tools']['ise']['installation_path']; - if (typeof current_value_104 === 'string'){ - default_config['tools']['ise']['installation_path'] = current_value_104; + const current_value_103 = json_config['tools']['ise']['installation_path']; + if (typeof current_value_103 === 'string'){ + default_config['tools']['ise']['installation_path'] = current_value_103; } // tools -> ise -> family - const current_value_105 = json_config['tools']['ise']['family']; - if (typeof current_value_105 === 'string'){ - default_config['tools']['ise']['family'] = current_value_105; + const current_value_104 = json_config['tools']['ise']['family']; + if (typeof current_value_104 === 'string'){ + default_config['tools']['ise']['family'] = current_value_104; } // tools -> ise -> device - const current_value_106 = json_config['tools']['ise']['device']; - if (typeof current_value_106 === 'string'){ - default_config['tools']['ise']['device'] = current_value_106; + const current_value_105 = json_config['tools']['ise']['device']; + if (typeof current_value_105 === 'string'){ + default_config['tools']['ise']['device'] = current_value_105; } // tools -> ise -> package - const current_value_107 = json_config['tools']['ise']['package']; - if (typeof current_value_107 === 'string'){ - default_config['tools']['ise']['package'] = current_value_107; + const current_value_106 = json_config['tools']['ise']['package']; + if (typeof current_value_106 === 'string'){ + default_config['tools']['ise']['package'] = current_value_106; } // tools -> ise -> speed - const current_value_108 = json_config['tools']['ise']['speed']; - if (typeof current_value_108 === 'string'){ - default_config['tools']['ise']['speed'] = current_value_108; + const current_value_107 = json_config['tools']['ise']['speed']; + if (typeof current_value_107 === 'string'){ + default_config['tools']['ise']['speed'] = current_value_107; } // tools -> isem -> installation_path - const current_value_109 = json_config['tools']['isem']['installation_path']; - if (typeof current_value_109 === 'string'){ - default_config['tools']['isem']['installation_path'] = current_value_109; + const current_value_108 = json_config['tools']['isem']['installation_path']; + if (typeof current_value_108 === 'string'){ + default_config['tools']['isem']['installation_path'] = current_value_108; } // tools -> isem -> fuse_options - const current_value_110 = json_config['tools']['isem']['fuse_options']; - if (Array.isArray(current_value_110)){ - default_config['tools']['isem']['fuse_options'] = current_value_110; + const current_value_109 = json_config['tools']['isem']['fuse_options']; + if (Array.isArray(current_value_109)){ + default_config['tools']['isem']['fuse_options'] = current_value_109; } // tools -> isem -> isim_options - const current_value_111 = json_config['tools']['isem']['isim_options']; - if (Array.isArray(current_value_111)){ - default_config['tools']['isem']['isim_options'] = current_value_111; + const current_value_110 = json_config['tools']['isem']['isim_options']; + if (Array.isArray(current_value_110)){ + default_config['tools']['isem']['isim_options'] = current_value_110; } // tools -> modelsim -> installation_path - const current_value_112 = json_config['tools']['modelsim']['installation_path']; - if (typeof current_value_112 === 'string'){ - default_config['tools']['modelsim']['installation_path'] = current_value_112; + const current_value_111 = json_config['tools']['modelsim']['installation_path']; + if (typeof current_value_111 === 'string'){ + default_config['tools']['modelsim']['installation_path'] = current_value_111; } // tools -> modelsim -> vcom_options - const current_value_113 = json_config['tools']['modelsim']['vcom_options']; - if (Array.isArray(current_value_113)){ - default_config['tools']['modelsim']['vcom_options'] = current_value_113; + const current_value_112 = json_config['tools']['modelsim']['vcom_options']; + if (Array.isArray(current_value_112)){ + default_config['tools']['modelsim']['vcom_options'] = current_value_112; } // tools -> modelsim -> vlog_options - const current_value_114 = json_config['tools']['modelsim']['vlog_options']; - if (Array.isArray(current_value_114)){ - default_config['tools']['modelsim']['vlog_options'] = current_value_114; + const current_value_113 = json_config['tools']['modelsim']['vlog_options']; + if (Array.isArray(current_value_113)){ + default_config['tools']['modelsim']['vlog_options'] = current_value_113; } // tools -> modelsim -> vsim_options - const current_value_115 = json_config['tools']['modelsim']['vsim_options']; - if (Array.isArray(current_value_115)){ - default_config['tools']['modelsim']['vsim_options'] = current_value_115; + const current_value_114 = json_config['tools']['modelsim']['vsim_options']; + if (Array.isArray(current_value_114)){ + default_config['tools']['modelsim']['vsim_options'] = current_value_114; } // tools -> morty -> installation_path - const current_value_116 = json_config['tools']['morty']['installation_path']; - if (typeof current_value_116 === 'string'){ - default_config['tools']['morty']['installation_path'] = current_value_116; + const current_value_115 = json_config['tools']['morty']['installation_path']; + if (typeof current_value_115 === 'string'){ + default_config['tools']['morty']['installation_path'] = current_value_115; } // tools -> morty -> morty_options - const current_value_117 = json_config['tools']['morty']['morty_options']; - if (Array.isArray(current_value_117)){ - default_config['tools']['morty']['morty_options'] = current_value_117; + const current_value_116 = json_config['tools']['morty']['morty_options']; + if (Array.isArray(current_value_116)){ + default_config['tools']['morty']['morty_options'] = current_value_116; } // tools -> quartus -> installation_path - const current_value_118 = json_config['tools']['quartus']['installation_path']; - if (typeof current_value_118 === 'string'){ - default_config['tools']['quartus']['installation_path'] = current_value_118; + const current_value_117 = json_config['tools']['quartus']['installation_path']; + if (typeof current_value_117 === 'string'){ + default_config['tools']['quartus']['installation_path'] = current_value_117; } // tools -> quartus -> family - const current_value_119 = json_config['tools']['quartus']['family']; - if (typeof current_value_119 === 'string'){ - default_config['tools']['quartus']['family'] = current_value_119; + const current_value_118 = json_config['tools']['quartus']['family']; + if (typeof current_value_118 === 'string'){ + default_config['tools']['quartus']['family'] = current_value_118; } // tools -> quartus -> device - const current_value_120 = json_config['tools']['quartus']['device']; - if (typeof current_value_120 === 'string'){ - default_config['tools']['quartus']['device'] = current_value_120; + const current_value_119 = json_config['tools']['quartus']['device']; + if (typeof current_value_119 === 'string'){ + default_config['tools']['quartus']['device'] = current_value_119; } // tools -> quartus -> cable - const current_value_121 = json_config['tools']['quartus']['cable']; - if (typeof current_value_121 === 'string'){ - default_config['tools']['quartus']['cable'] = current_value_121; + const current_value_120 = json_config['tools']['quartus']['cable']; + if (typeof current_value_120 === 'string'){ + default_config['tools']['quartus']['cable'] = current_value_120; } // tools -> quartus -> board_device_index - const current_value_122 = json_config['tools']['quartus']['board_device_index']; - if (typeof current_value_122 === 'string'){ - default_config['tools']['quartus']['board_device_index'] = current_value_122; + const current_value_121 = json_config['tools']['quartus']['board_device_index']; + if (typeof current_value_121 === 'string'){ + default_config['tools']['quartus']['board_device_index'] = current_value_121; } // tools -> quartus -> pnr - const current_value_123 = json_config['tools']['quartus']['pnr']; - if ( current_value_123 === "default"){ + const current_value_122 = json_config['tools']['quartus']['pnr']; + if ( current_value_122 === "default"){ default_config['tools']['quartus']['pnr'] = e_tools_quartus_pnr.default; } - if ( current_value_123 === "dse"){ + if ( current_value_122 === "dse"){ default_config['tools']['quartus']['pnr'] = e_tools_quartus_pnr.dse; } - if ( current_value_123 === "none"){ + if ( current_value_122 === "none"){ default_config['tools']['quartus']['pnr'] = e_tools_quartus_pnr.none; } // tools -> quartus -> dse_options - const current_value_124 = json_config['tools']['quartus']['dse_options']; - if (Array.isArray(current_value_124)){ - default_config['tools']['quartus']['dse_options'] = current_value_124; + const current_value_123 = json_config['tools']['quartus']['dse_options']; + if (Array.isArray(current_value_123)){ + default_config['tools']['quartus']['dse_options'] = current_value_123; } // tools -> quartus -> quartus_options - const current_value_125 = json_config['tools']['quartus']['quartus_options']; - if (Array.isArray(current_value_125)){ - default_config['tools']['quartus']['quartus_options'] = current_value_125; + const current_value_124 = json_config['tools']['quartus']['quartus_options']; + if (Array.isArray(current_value_124)){ + default_config['tools']['quartus']['quartus_options'] = current_value_124; } // tools -> radiant -> installation_path - const current_value_126 = json_config['tools']['radiant']['installation_path']; - if (typeof current_value_126 === 'string'){ - default_config['tools']['radiant']['installation_path'] = current_value_126; + const current_value_125 = json_config['tools']['radiant']['installation_path']; + if (typeof current_value_125 === 'string'){ + default_config['tools']['radiant']['installation_path'] = current_value_125; } // tools -> radiant -> part - const current_value_127 = json_config['tools']['radiant']['part']; - if (typeof current_value_127 === 'string'){ - default_config['tools']['radiant']['part'] = current_value_127; + const current_value_126 = json_config['tools']['radiant']['part']; + if (typeof current_value_126 === 'string'){ + default_config['tools']['radiant']['part'] = current_value_126; } // tools -> rivierapro -> installation_path - const current_value_128 = json_config['tools']['rivierapro']['installation_path']; - if (typeof current_value_128 === 'string'){ - default_config['tools']['rivierapro']['installation_path'] = current_value_128; + const current_value_127 = json_config['tools']['rivierapro']['installation_path']; + if (typeof current_value_127 === 'string'){ + default_config['tools']['rivierapro']['installation_path'] = current_value_127; } // tools -> rivierapro -> compilation_mode - const current_value_129 = json_config['tools']['rivierapro']['compilation_mode']; - if (typeof current_value_129 === 'string'){ - default_config['tools']['rivierapro']['compilation_mode'] = current_value_129; + const current_value_128 = json_config['tools']['rivierapro']['compilation_mode']; + if (typeof current_value_128 === 'string'){ + default_config['tools']['rivierapro']['compilation_mode'] = current_value_128; } // tools -> rivierapro -> vlog_options - const current_value_130 = json_config['tools']['rivierapro']['vlog_options']; - if (Array.isArray(current_value_130)){ - default_config['tools']['rivierapro']['vlog_options'] = current_value_130; + const current_value_129 = json_config['tools']['rivierapro']['vlog_options']; + if (Array.isArray(current_value_129)){ + default_config['tools']['rivierapro']['vlog_options'] = current_value_129; } // tools -> rivierapro -> vsim_options - const current_value_131 = json_config['tools']['rivierapro']['vsim_options']; - if (Array.isArray(current_value_131)){ - default_config['tools']['rivierapro']['vsim_options'] = current_value_131; + const current_value_130 = json_config['tools']['rivierapro']['vsim_options']; + if (Array.isArray(current_value_130)){ + default_config['tools']['rivierapro']['vsim_options'] = current_value_130; } // tools -> spyglass -> installation_path - const current_value_132 = json_config['tools']['spyglass']['installation_path']; - if (typeof current_value_132 === 'string'){ - default_config['tools']['spyglass']['installation_path'] = current_value_132; + const current_value_131 = json_config['tools']['spyglass']['installation_path']; + if (typeof current_value_131 === 'string'){ + default_config['tools']['spyglass']['installation_path'] = current_value_131; } // tools -> spyglass -> methodology - const current_value_133 = json_config['tools']['spyglass']['methodology']; - if (typeof current_value_133 === 'string'){ - default_config['tools']['spyglass']['methodology'] = current_value_133; + const current_value_132 = json_config['tools']['spyglass']['methodology']; + if (typeof current_value_132 === 'string'){ + default_config['tools']['spyglass']['methodology'] = current_value_132; } // tools -> spyglass -> goals - const current_value_134 = json_config['tools']['spyglass']['goals']; - if (Array.isArray(current_value_134)){ - default_config['tools']['spyglass']['goals'] = current_value_134; + const current_value_133 = json_config['tools']['spyglass']['goals']; + if (Array.isArray(current_value_133)){ + default_config['tools']['spyglass']['goals'] = current_value_133; } // tools -> spyglass -> spyglass_options - const current_value_135 = json_config['tools']['spyglass']['spyglass_options']; - if (Array.isArray(current_value_135)){ - default_config['tools']['spyglass']['spyglass_options'] = current_value_135; + const current_value_134 = json_config['tools']['spyglass']['spyglass_options']; + if (Array.isArray(current_value_134)){ + default_config['tools']['spyglass']['spyglass_options'] = current_value_134; } // tools -> spyglass -> rule_parameters - const current_value_136 = json_config['tools']['spyglass']['rule_parameters']; - if (Array.isArray(current_value_136)){ - default_config['tools']['spyglass']['rule_parameters'] = current_value_136; + const current_value_135 = json_config['tools']['spyglass']['rule_parameters']; + if (Array.isArray(current_value_135)){ + default_config['tools']['spyglass']['rule_parameters'] = current_value_135; } // tools -> symbiyosys -> installation_path - const current_value_137 = json_config['tools']['symbiyosys']['installation_path']; - if (typeof current_value_137 === 'string'){ - default_config['tools']['symbiyosys']['installation_path'] = current_value_137; + const current_value_136 = json_config['tools']['symbiyosys']['installation_path']; + if (typeof current_value_136 === 'string'){ + default_config['tools']['symbiyosys']['installation_path'] = current_value_136; } // tools -> symbiyosys -> tasknames - const current_value_138 = json_config['tools']['symbiyosys']['tasknames']; - if (Array.isArray(current_value_138)){ - default_config['tools']['symbiyosys']['tasknames'] = current_value_138; + const current_value_137 = json_config['tools']['symbiyosys']['tasknames']; + if (Array.isArray(current_value_137)){ + default_config['tools']['symbiyosys']['tasknames'] = current_value_137; } // tools -> symbiflow -> installation_path - const current_value_139 = json_config['tools']['symbiflow']['installation_path']; - if (typeof current_value_139 === 'string'){ - default_config['tools']['symbiflow']['installation_path'] = current_value_139; + const current_value_138 = json_config['tools']['symbiflow']['installation_path']; + if (typeof current_value_138 === 'string'){ + default_config['tools']['symbiflow']['installation_path'] = current_value_138; } // tools -> symbiflow -> package - const current_value_140 = json_config['tools']['symbiflow']['package']; - if (typeof current_value_140 === 'string'){ - default_config['tools']['symbiflow']['package'] = current_value_140; + const current_value_139 = json_config['tools']['symbiflow']['package']; + if (typeof current_value_139 === 'string'){ + default_config['tools']['symbiflow']['package'] = current_value_139; } // tools -> symbiflow -> part - const current_value_141 = json_config['tools']['symbiflow']['part']; - if (typeof current_value_141 === 'string'){ - default_config['tools']['symbiflow']['part'] = current_value_141; + const current_value_140 = json_config['tools']['symbiflow']['part']; + if (typeof current_value_140 === 'string'){ + default_config['tools']['symbiflow']['part'] = current_value_140; } // tools -> symbiflow -> vendor - const current_value_142 = json_config['tools']['symbiflow']['vendor']; - if (typeof current_value_142 === 'string'){ - default_config['tools']['symbiflow']['vendor'] = current_value_142; + const current_value_141 = json_config['tools']['symbiflow']['vendor']; + if (typeof current_value_141 === 'string'){ + default_config['tools']['symbiflow']['vendor'] = current_value_141; } // tools -> symbiflow -> pnr - const current_value_143 = json_config['tools']['symbiflow']['pnr']; - if ( current_value_143 === "vpr"){ + const current_value_142 = json_config['tools']['symbiflow']['pnr']; + if ( current_value_142 === "vpr"){ default_config['tools']['symbiflow']['pnr'] = e_tools_symbiflow_pnr.vpr; } // tools -> symbiflow -> vpr_options - const current_value_144 = json_config['tools']['symbiflow']['vpr_options']; - if (typeof current_value_144 === 'string'){ - default_config['tools']['symbiflow']['vpr_options'] = current_value_144; + const current_value_143 = json_config['tools']['symbiflow']['vpr_options']; + if (typeof current_value_143 === 'string'){ + default_config['tools']['symbiflow']['vpr_options'] = current_value_143; } // tools -> symbiflow -> environment_script - const current_value_145 = json_config['tools']['symbiflow']['environment_script']; - if (typeof current_value_145 === 'string'){ - default_config['tools']['symbiflow']['environment_script'] = current_value_145; + const current_value_144 = json_config['tools']['symbiflow']['environment_script']; + if (typeof current_value_144 === 'string'){ + default_config['tools']['symbiflow']['environment_script'] = current_value_144; } // tools -> trellis -> installation_path - const current_value_146 = json_config['tools']['trellis']['installation_path']; - if (typeof current_value_146 === 'string'){ - default_config['tools']['trellis']['installation_path'] = current_value_146; + const current_value_145 = json_config['tools']['trellis']['installation_path']; + if (typeof current_value_145 === 'string'){ + default_config['tools']['trellis']['installation_path'] = current_value_145; } // tools -> trellis -> arch - const current_value_147 = json_config['tools']['trellis']['arch']; - if ( current_value_147 === "xilinx"){ + const current_value_146 = json_config['tools']['trellis']['arch']; + if ( current_value_146 === "xilinx"){ default_config['tools']['trellis']['arch'] = e_tools_trellis_arch.xilinx; } - if ( current_value_147 === "ice40"){ + if ( current_value_146 === "ice40"){ default_config['tools']['trellis']['arch'] = e_tools_trellis_arch.ice40; } - if ( current_value_147 === "ecp5"){ + if ( current_value_146 === "ecp5"){ default_config['tools']['trellis']['arch'] = e_tools_trellis_arch.ecp5; } // tools -> trellis -> output_format - const current_value_148 = json_config['tools']['trellis']['output_format']; - if ( current_value_148 === "json"){ + const current_value_147 = json_config['tools']['trellis']['output_format']; + if ( current_value_147 === "json"){ default_config['tools']['trellis']['output_format'] = e_tools_trellis_output_format.json; } - if ( current_value_148 === "edif"){ + if ( current_value_147 === "edif"){ default_config['tools']['trellis']['output_format'] = e_tools_trellis_output_format.edif; } - if ( current_value_148 === "blif"){ + if ( current_value_147 === "blif"){ default_config['tools']['trellis']['output_format'] = e_tools_trellis_output_format.blif; } // tools -> trellis -> yosys_as_subtool - const current_value_149 = json_config['tools']['trellis']['yosys_as_subtool']; - if (current_value_149 === true || current_value_149 === false){ - default_config['tools']['trellis']['yosys_as_subtool'] = current_value_149; + const current_value_148 = json_config['tools']['trellis']['yosys_as_subtool']; + if (current_value_148 === true || current_value_148 === false){ + default_config['tools']['trellis']['yosys_as_subtool'] = current_value_148; } // tools -> trellis -> makefile_name - const current_value_150 = json_config['tools']['trellis']['makefile_name']; - if (typeof current_value_150 === 'string'){ - default_config['tools']['trellis']['makefile_name'] = current_value_150; + const current_value_149 = json_config['tools']['trellis']['makefile_name']; + if (typeof current_value_149 === 'string'){ + default_config['tools']['trellis']['makefile_name'] = current_value_149; } // tools -> trellis -> script_name - const current_value_151 = json_config['tools']['trellis']['script_name']; - if (typeof current_value_151 === 'string'){ - default_config['tools']['trellis']['script_name'] = current_value_151; + const current_value_150 = json_config['tools']['trellis']['script_name']; + if (typeof current_value_150 === 'string'){ + default_config['tools']['trellis']['script_name'] = current_value_150; } // tools -> trellis -> nextpnr_options - const current_value_152 = json_config['tools']['trellis']['nextpnr_options']; - if (Array.isArray(current_value_152)){ - default_config['tools']['trellis']['nextpnr_options'] = current_value_152; + const current_value_151 = json_config['tools']['trellis']['nextpnr_options']; + if (Array.isArray(current_value_151)){ + default_config['tools']['trellis']['nextpnr_options'] = current_value_151; } // tools -> trellis -> yosys_synth_options - const current_value_153 = json_config['tools']['trellis']['yosys_synth_options']; - if (Array.isArray(current_value_153)){ - default_config['tools']['trellis']['yosys_synth_options'] = current_value_153; + const current_value_152 = json_config['tools']['trellis']['yosys_synth_options']; + if (Array.isArray(current_value_152)){ + default_config['tools']['trellis']['yosys_synth_options'] = current_value_152; } // tools -> vcs -> installation_path - const current_value_154 = json_config['tools']['vcs']['installation_path']; - if (typeof current_value_154 === 'string'){ - default_config['tools']['vcs']['installation_path'] = current_value_154; + const current_value_153 = json_config['tools']['vcs']['installation_path']; + if (typeof current_value_153 === 'string'){ + default_config['tools']['vcs']['installation_path'] = current_value_153; } // tools -> vcs -> vcs_options - const current_value_155 = json_config['tools']['vcs']['vcs_options']; - if (Array.isArray(current_value_155)){ - default_config['tools']['vcs']['vcs_options'] = current_value_155; + const current_value_154 = json_config['tools']['vcs']['vcs_options']; + if (Array.isArray(current_value_154)){ + default_config['tools']['vcs']['vcs_options'] = current_value_154; } // tools -> vcs -> run_options - const current_value_156 = json_config['tools']['vcs']['run_options']; - if (Array.isArray(current_value_156)){ - default_config['tools']['vcs']['run_options'] = current_value_156; + const current_value_155 = json_config['tools']['vcs']['run_options']; + if (Array.isArray(current_value_155)){ + default_config['tools']['vcs']['run_options'] = current_value_155; } // tools -> verible -> installation_path - const current_value_157 = json_config['tools']['verible']['installation_path']; - if (typeof current_value_157 === 'string'){ - default_config['tools']['verible']['installation_path'] = current_value_157; + const current_value_156 = json_config['tools']['verible']['installation_path']; + if (typeof current_value_156 === 'string'){ + default_config['tools']['verible']['installation_path'] = current_value_156; } // tools -> verilator -> installation_path - const current_value_158 = json_config['tools']['verilator']['installation_path']; - if (typeof current_value_158 === 'string'){ - default_config['tools']['verilator']['installation_path'] = current_value_158; + const current_value_157 = json_config['tools']['verilator']['installation_path']; + if (typeof current_value_157 === 'string'){ + default_config['tools']['verilator']['installation_path'] = current_value_157; } // tools -> verilator -> mode - const current_value_159 = json_config['tools']['verilator']['mode']; - if ( current_value_159 === "cc"){ + const current_value_158 = json_config['tools']['verilator']['mode']; + if ( current_value_158 === "cc"){ default_config['tools']['verilator']['mode'] = e_tools_verilator_mode.cc; } - if ( current_value_159 === "sc"){ + if ( current_value_158 === "sc"){ default_config['tools']['verilator']['mode'] = e_tools_verilator_mode.sc; } - if ( current_value_159 === "lint-only"){ + if ( current_value_158 === "lint-only"){ default_config['tools']['verilator']['mode'] = e_tools_verilator_mode.lint_only; } // tools -> verilator -> libs - const current_value_160 = json_config['tools']['verilator']['libs']; - if (Array.isArray(current_value_160)){ - default_config['tools']['verilator']['libs'] = current_value_160; + const current_value_159 = json_config['tools']['verilator']['libs']; + if (Array.isArray(current_value_159)){ + default_config['tools']['verilator']['libs'] = current_value_159; } // tools -> verilator -> verilator_options - const current_value_161 = json_config['tools']['verilator']['verilator_options']; - if (Array.isArray(current_value_161)){ - default_config['tools']['verilator']['verilator_options'] = current_value_161; + const current_value_160 = json_config['tools']['verilator']['verilator_options']; + if (Array.isArray(current_value_160)){ + default_config['tools']['verilator']['verilator_options'] = current_value_160; } // tools -> verilator -> make_options - const current_value_162 = json_config['tools']['verilator']['make_options']; - if (Array.isArray(current_value_162)){ - default_config['tools']['verilator']['make_options'] = current_value_162; + const current_value_161 = json_config['tools']['verilator']['make_options']; + if (Array.isArray(current_value_161)){ + default_config['tools']['verilator']['make_options'] = current_value_161; } // tools -> verilator -> run_options - const current_value_163 = json_config['tools']['verilator']['run_options']; - if (Array.isArray(current_value_163)){ - default_config['tools']['verilator']['run_options'] = current_value_163; + const current_value_162 = json_config['tools']['verilator']['run_options']; + if (Array.isArray(current_value_162)){ + default_config['tools']['verilator']['run_options'] = current_value_162; } // tools -> vivado -> installation_path - const current_value_164 = json_config['tools']['vivado']['installation_path']; - if (typeof current_value_164 === 'string'){ - default_config['tools']['vivado']['installation_path'] = current_value_164; + const current_value_163 = json_config['tools']['vivado']['installation_path']; + if (typeof current_value_163 === 'string'){ + default_config['tools']['vivado']['installation_path'] = current_value_163; } // tools -> vivado -> part - const current_value_165 = json_config['tools']['vivado']['part']; - if (typeof current_value_165 === 'string'){ - default_config['tools']['vivado']['part'] = current_value_165; + const current_value_164 = json_config['tools']['vivado']['part']; + if (typeof current_value_164 === 'string'){ + default_config['tools']['vivado']['part'] = current_value_164; } // tools -> vivado -> synth - const current_value_166 = json_config['tools']['vivado']['synth']; - if (typeof current_value_166 === 'string'){ - default_config['tools']['vivado']['synth'] = current_value_166; + const current_value_165 = json_config['tools']['vivado']['synth']; + if (typeof current_value_165 === 'string'){ + default_config['tools']['vivado']['synth'] = current_value_165; } // tools -> vivado -> pnr - const current_value_167 = json_config['tools']['vivado']['pnr']; - if ( current_value_167 === "vivado"){ + const current_value_166 = json_config['tools']['vivado']['pnr']; + if ( current_value_166 === "vivado"){ default_config['tools']['vivado']['pnr'] = e_tools_vivado_pnr.vivado; } - if ( current_value_167 === "none"){ + if ( current_value_166 === "none"){ default_config['tools']['vivado']['pnr'] = e_tools_vivado_pnr.none; } // tools -> vivado -> jtag_freq - const current_value_168 = json_config['tools']['vivado']['jtag_freq']; - if (typeof current_value_168 === 'number'){ - default_config['tools']['vivado']['jtag_freq'] = current_value_168; + const current_value_167 = json_config['tools']['vivado']['jtag_freq']; + if (typeof current_value_167 === 'number'){ + default_config['tools']['vivado']['jtag_freq'] = current_value_167; } // tools -> vivado -> hw_target - const current_value_169 = json_config['tools']['vivado']['hw_target']; - if (typeof current_value_169 === 'string'){ - default_config['tools']['vivado']['hw_target'] = current_value_169; + const current_value_168 = json_config['tools']['vivado']['hw_target']; + if (typeof current_value_168 === 'string'){ + default_config['tools']['vivado']['hw_target'] = current_value_168; } // tools -> vunit -> installation_path - const current_value_170 = json_config['tools']['vunit']['installation_path']; - if (typeof current_value_170 === 'string'){ - default_config['tools']['vunit']['installation_path'] = current_value_170; + const current_value_169 = json_config['tools']['vunit']['installation_path']; + if (typeof current_value_169 === 'string'){ + default_config['tools']['vunit']['installation_path'] = current_value_169; } // tools -> vunit -> simulator_name - const current_value_171 = json_config['tools']['vunit']['simulator_name']; - if ( current_value_171 === "rivierapro"){ + const current_value_170 = json_config['tools']['vunit']['simulator_name']; + if ( current_value_170 === "rivierapro"){ default_config['tools']['vunit']['simulator_name'] = e_tools_vunit_simulator_name.rivierapro; } - if ( current_value_171 === "activehdl"){ + if ( current_value_170 === "activehdl"){ default_config['tools']['vunit']['simulator_name'] = e_tools_vunit_simulator_name.activehdl; } - if ( current_value_171 === "ghdl"){ + if ( current_value_170 === "ghdl"){ default_config['tools']['vunit']['simulator_name'] = e_tools_vunit_simulator_name.ghdl; } - if ( current_value_171 === "modelsim"){ + if ( current_value_170 === "modelsim"){ default_config['tools']['vunit']['simulator_name'] = e_tools_vunit_simulator_name.modelsim; } - if ( current_value_171 === "xsim"){ + if ( current_value_170 === "xsim"){ default_config['tools']['vunit']['simulator_name'] = e_tools_vunit_simulator_name.xsim; } // tools -> vunit -> runpy_mode - const current_value_172 = json_config['tools']['vunit']['runpy_mode']; - if ( current_value_172 === "standalone"){ + const current_value_171 = json_config['tools']['vunit']['runpy_mode']; + if ( current_value_171 === "standalone"){ default_config['tools']['vunit']['runpy_mode'] = e_tools_vunit_runpy_mode.standalone; } - if ( current_value_172 === "creation"){ + if ( current_value_171 === "creation"){ default_config['tools']['vunit']['runpy_mode'] = e_tools_vunit_runpy_mode.creation; } // tools -> vunit -> extra_options - const current_value_173 = json_config['tools']['vunit']['extra_options']; - if (typeof current_value_173 === 'string'){ - default_config['tools']['vunit']['extra_options'] = current_value_173; + const current_value_172 = json_config['tools']['vunit']['extra_options']; + if (typeof current_value_172 === 'string'){ + default_config['tools']['vunit']['extra_options'] = current_value_172; } // tools -> vunit -> enable_array_util_lib - const current_value_174 = json_config['tools']['vunit']['enable_array_util_lib']; - if (current_value_174 === true || current_value_174 === false){ - default_config['tools']['vunit']['enable_array_util_lib'] = current_value_174; + const current_value_173 = json_config['tools']['vunit']['enable_array_util_lib']; + if (current_value_173 === true || current_value_173 === false){ + default_config['tools']['vunit']['enable_array_util_lib'] = current_value_173; } // tools -> vunit -> enable_com_lib - const current_value_175 = json_config['tools']['vunit']['enable_com_lib']; - if (current_value_175 === true || current_value_175 === false){ - default_config['tools']['vunit']['enable_com_lib'] = current_value_175; + const current_value_174 = json_config['tools']['vunit']['enable_com_lib']; + if (current_value_174 === true || current_value_174 === false){ + default_config['tools']['vunit']['enable_com_lib'] = current_value_174; } // tools -> vunit -> enable_json4vhdl_lib - const current_value_176 = json_config['tools']['vunit']['enable_json4vhdl_lib']; - if (current_value_176 === true || current_value_176 === false){ - default_config['tools']['vunit']['enable_json4vhdl_lib'] = current_value_176; + const current_value_175 = json_config['tools']['vunit']['enable_json4vhdl_lib']; + if (current_value_175 === true || current_value_175 === false){ + default_config['tools']['vunit']['enable_json4vhdl_lib'] = current_value_175; } // tools -> vunit -> enable_osvvm_lib - const current_value_177 = json_config['tools']['vunit']['enable_osvvm_lib']; - if (current_value_177 === true || current_value_177 === false){ - default_config['tools']['vunit']['enable_osvvm_lib'] = current_value_177; + const current_value_176 = json_config['tools']['vunit']['enable_osvvm_lib']; + if (current_value_176 === true || current_value_176 === false){ + default_config['tools']['vunit']['enable_osvvm_lib'] = current_value_176; } // tools -> vunit -> enable_random_lib - const current_value_178 = json_config['tools']['vunit']['enable_random_lib']; - if (current_value_178 === true || current_value_178 === false){ - default_config['tools']['vunit']['enable_random_lib'] = current_value_178; + const current_value_177 = json_config['tools']['vunit']['enable_random_lib']; + if (current_value_177 === true || current_value_177 === false){ + default_config['tools']['vunit']['enable_random_lib'] = current_value_177; } // tools -> vunit -> enable_verification_components_lib - const current_value_179 = json_config['tools']['vunit']['enable_verification_components_lib']; - if (current_value_179 === true || current_value_179 === false){ - default_config['tools']['vunit']['enable_verification_components_lib'] = current_value_179; + const current_value_178 = json_config['tools']['vunit']['enable_verification_components_lib']; + if (current_value_178 === true || current_value_178 === false){ + default_config['tools']['vunit']['enable_verification_components_lib'] = current_value_178; } // tools -> xcelium -> installation_path - const current_value_180 = json_config['tools']['xcelium']['installation_path']; - if (typeof current_value_180 === 'string'){ - default_config['tools']['xcelium']['installation_path'] = current_value_180; + const current_value_179 = json_config['tools']['xcelium']['installation_path']; + if (typeof current_value_179 === 'string'){ + default_config['tools']['xcelium']['installation_path'] = current_value_179; } // tools -> xcelium -> xmvhdl_options - const current_value_181 = json_config['tools']['xcelium']['xmvhdl_options']; - if (Array.isArray(current_value_181)){ - default_config['tools']['xcelium']['xmvhdl_options'] = current_value_181; + const current_value_180 = json_config['tools']['xcelium']['xmvhdl_options']; + if (Array.isArray(current_value_180)){ + default_config['tools']['xcelium']['xmvhdl_options'] = current_value_180; } // tools -> xcelium -> xmvlog_options - const current_value_182 = json_config['tools']['xcelium']['xmvlog_options']; - if (Array.isArray(current_value_182)){ - default_config['tools']['xcelium']['xmvlog_options'] = current_value_182; + const current_value_181 = json_config['tools']['xcelium']['xmvlog_options']; + if (Array.isArray(current_value_181)){ + default_config['tools']['xcelium']['xmvlog_options'] = current_value_181; } // tools -> xcelium -> xmsim_options - const current_value_183 = json_config['tools']['xcelium']['xmsim_options']; - if (Array.isArray(current_value_183)){ - default_config['tools']['xcelium']['xmsim_options'] = current_value_183; + const current_value_182 = json_config['tools']['xcelium']['xmsim_options']; + if (Array.isArray(current_value_182)){ + default_config['tools']['xcelium']['xmsim_options'] = current_value_182; } // tools -> xcelium -> xrun_options - const current_value_184 = json_config['tools']['xcelium']['xrun_options']; - if (Array.isArray(current_value_184)){ - default_config['tools']['xcelium']['xrun_options'] = current_value_184; + const current_value_183 = json_config['tools']['xcelium']['xrun_options']; + if (Array.isArray(current_value_183)){ + default_config['tools']['xcelium']['xrun_options'] = current_value_183; } // tools -> xsim -> installation_path - const current_value_185 = json_config['tools']['xsim']['installation_path']; - if (typeof current_value_185 === 'string'){ - default_config['tools']['xsim']['installation_path'] = current_value_185; + const current_value_184 = json_config['tools']['xsim']['installation_path']; + if (typeof current_value_184 === 'string'){ + default_config['tools']['xsim']['installation_path'] = current_value_184; } // tools -> xsim -> xelab_options - const current_value_186 = json_config['tools']['xsim']['xelab_options']; - if (Array.isArray(current_value_186)){ - default_config['tools']['xsim']['xelab_options'] = current_value_186; + const current_value_185 = json_config['tools']['xsim']['xelab_options']; + if (Array.isArray(current_value_185)){ + default_config['tools']['xsim']['xelab_options'] = current_value_185; } // tools -> xsim -> xsim_options - const current_value_187 = json_config['tools']['xsim']['xsim_options']; - if (Array.isArray(current_value_187)){ - default_config['tools']['xsim']['xsim_options'] = current_value_187; + const current_value_186 = json_config['tools']['xsim']['xsim_options']; + if (Array.isArray(current_value_186)){ + default_config['tools']['xsim']['xsim_options'] = current_value_186; } // tools -> yosys -> installation_path - const current_value_188 = json_config['tools']['yosys']['installation_path']; - if (typeof current_value_188 === 'string'){ - default_config['tools']['yosys']['installation_path'] = current_value_188; + const current_value_187 = json_config['tools']['yosys']['installation_path']; + if (typeof current_value_187 === 'string'){ + default_config['tools']['yosys']['installation_path'] = current_value_187; } // tools -> yosys -> arch - const current_value_189 = json_config['tools']['yosys']['arch']; - if ( current_value_189 === "xilinx"){ + const current_value_188 = json_config['tools']['yosys']['arch']; + if ( current_value_188 === "xilinx"){ default_config['tools']['yosys']['arch'] = e_tools_yosys_arch.xilinx; } - if ( current_value_189 === "ice40"){ + if ( current_value_188 === "ice40"){ default_config['tools']['yosys']['arch'] = e_tools_yosys_arch.ice40; } - if ( current_value_189 === "ecp5"){ + if ( current_value_188 === "ecp5"){ default_config['tools']['yosys']['arch'] = e_tools_yosys_arch.ecp5; } // tools -> yosys -> output_format - const current_value_190 = json_config['tools']['yosys']['output_format']; - if ( current_value_190 === "json"){ + const current_value_189 = json_config['tools']['yosys']['output_format']; + if ( current_value_189 === "json"){ default_config['tools']['yosys']['output_format'] = e_tools_yosys_output_format.json; } - if ( current_value_190 === "edif"){ + if ( current_value_189 === "edif"){ default_config['tools']['yosys']['output_format'] = e_tools_yosys_output_format.edif; } - if ( current_value_190 === "blif"){ + if ( current_value_189 === "blif"){ default_config['tools']['yosys']['output_format'] = e_tools_yosys_output_format.blif; } // tools -> yosys -> yosys_as_subtool - const current_value_191 = json_config['tools']['yosys']['yosys_as_subtool']; - if (current_value_191 === true || current_value_191 === false){ - default_config['tools']['yosys']['yosys_as_subtool'] = current_value_191; + const current_value_190 = json_config['tools']['yosys']['yosys_as_subtool']; + if (current_value_190 === true || current_value_190 === false){ + default_config['tools']['yosys']['yosys_as_subtool'] = current_value_190; } // tools -> yosys -> makefile_name - const current_value_192 = json_config['tools']['yosys']['makefile_name']; - if (typeof current_value_192 === 'string'){ - default_config['tools']['yosys']['makefile_name'] = current_value_192; + const current_value_191 = json_config['tools']['yosys']['makefile_name']; + if (typeof current_value_191 === 'string'){ + default_config['tools']['yosys']['makefile_name'] = current_value_191; } // tools -> yosys -> script_name - const current_value_193 = json_config['tools']['yosys']['script_name']; - if (typeof current_value_193 === 'string'){ - default_config['tools']['yosys']['script_name'] = current_value_193; + const current_value_192 = json_config['tools']['yosys']['script_name']; + if (typeof current_value_192 === 'string'){ + default_config['tools']['yosys']['script_name'] = current_value_192; } // tools -> yosys -> yosys_synth_options - const current_value_194 = json_config['tools']['yosys']['yosys_synth_options']; - if (Array.isArray(current_value_194)){ - default_config['tools']['yosys']['yosys_synth_options'] = current_value_194; + const current_value_193 = json_config['tools']['yosys']['yosys_synth_options']; + if (Array.isArray(current_value_193)){ + default_config['tools']['yosys']['yosys_synth_options'] = current_value_193; } // tools -> openfpga -> installation_path - const current_value_195 = json_config['tools']['openfpga']['installation_path']; - if (typeof current_value_195 === 'string'){ - default_config['tools']['openfpga']['installation_path'] = current_value_195; + const current_value_194 = json_config['tools']['openfpga']['installation_path']; + if (typeof current_value_194 === 'string'){ + default_config['tools']['openfpga']['installation_path'] = current_value_194; } // tools -> openfpga -> arch - const current_value_196 = json_config['tools']['openfpga']['arch']; - if (typeof current_value_196 === 'string'){ - default_config['tools']['openfpga']['arch'] = current_value_196; + const current_value_195 = json_config['tools']['openfpga']['arch']; + if (typeof current_value_195 === 'string'){ + default_config['tools']['openfpga']['arch'] = current_value_195; } // tools -> openfpga -> task_options - const current_value_197 = json_config['tools']['openfpga']['task_options']; - if (Array.isArray(current_value_197)){ - default_config['tools']['openfpga']['task_options'] = current_value_197; + const current_value_196 = json_config['tools']['openfpga']['task_options']; + if (Array.isArray(current_value_196)){ + default_config['tools']['openfpga']['task_options'] = current_value_196; } // tools -> activehdl -> installation_path - const current_value_198 = json_config['tools']['activehdl']['installation_path']; - if (typeof current_value_198 === 'string'){ - default_config['tools']['activehdl']['installation_path'] = current_value_198; + const current_value_197 = json_config['tools']['activehdl']['installation_path']; + if (typeof current_value_197 === 'string'){ + default_config['tools']['activehdl']['installation_path'] = current_value_197; } // tools -> nvc -> installation_path - const current_value_199 = json_config['tools']['nvc']['installation_path']; - if (typeof current_value_199 === 'string'){ - default_config['tools']['nvc']['installation_path'] = current_value_199; + const current_value_198 = json_config['tools']['nvc']['installation_path']; + if (typeof current_value_198 === 'string'){ + default_config['tools']['nvc']['installation_path'] = current_value_198; } // tools -> questa -> installation_path - const current_value_200 = json_config['tools']['questa']['installation_path']; - if (typeof current_value_200 === 'string'){ - default_config['tools']['questa']['installation_path'] = current_value_200; + const current_value_199 = json_config['tools']['questa']['installation_path']; + if (typeof current_value_199 === 'string'){ + default_config['tools']['questa']['installation_path'] = current_value_199; } // tools -> raptor -> installation_path - const current_value_201 = json_config['tools']['raptor']['installation_path']; - if (typeof current_value_201 === 'string'){ - default_config['tools']['raptor']['installation_path'] = current_value_201; + const current_value_200 = json_config['tools']['raptor']['installation_path']; + if (typeof current_value_200 === 'string'){ + default_config['tools']['raptor']['installation_path'] = current_value_200; } // tools -> raptor -> target_device - const current_value_202 = json_config['tools']['raptor']['target_device']; - if (typeof current_value_202 === 'string'){ - default_config['tools']['raptor']['target_device'] = current_value_202; + const current_value_201 = json_config['tools']['raptor']['target_device']; + if (typeof current_value_201 === 'string'){ + default_config['tools']['raptor']['target_device'] = current_value_201; } // tools -> raptor -> vhdl_version - const current_value_203 = json_config['tools']['raptor']['vhdl_version']; - if ( current_value_203 === "VHDL_1987"){ + const current_value_202 = json_config['tools']['raptor']['vhdl_version']; + if ( current_value_202 === "VHDL_1987"){ default_config['tools']['raptor']['vhdl_version'] = e_tools_raptor_vhdl_version.VHDL_1987; } - if ( current_value_203 === "VHDL_1993"){ + if ( current_value_202 === "VHDL_1993"){ default_config['tools']['raptor']['vhdl_version'] = e_tools_raptor_vhdl_version.VHDL_1993; } - if ( current_value_203 === "VHDL_2000"){ + if ( current_value_202 === "VHDL_2000"){ default_config['tools']['raptor']['vhdl_version'] = e_tools_raptor_vhdl_version.VHDL_2000; } - if ( current_value_203 === "VHDL_2008"){ + if ( current_value_202 === "VHDL_2008"){ default_config['tools']['raptor']['vhdl_version'] = e_tools_raptor_vhdl_version.VHDL_2008; } - if ( current_value_203 === "VHDL_2019"){ + if ( current_value_202 === "VHDL_2019"){ default_config['tools']['raptor']['vhdl_version'] = e_tools_raptor_vhdl_version.VHDL_2019; } // tools -> raptor -> verilog_version - const current_value_204 = json_config['tools']['raptor']['verilog_version']; - if ( current_value_204 === "V_1995"){ + const current_value_203 = json_config['tools']['raptor']['verilog_version']; + if ( current_value_203 === "V_1995"){ default_config['tools']['raptor']['verilog_version'] = e_tools_raptor_verilog_version.V_1995; } - if ( current_value_204 === "V_2001"){ + if ( current_value_203 === "V_2001"){ default_config['tools']['raptor']['verilog_version'] = e_tools_raptor_verilog_version.V_2001; } // tools -> raptor -> sv_version - const current_value_205 = json_config['tools']['raptor']['sv_version']; - if ( current_value_205 === "SV_2005"){ + const current_value_204 = json_config['tools']['raptor']['sv_version']; + if ( current_value_204 === "SV_2005"){ default_config['tools']['raptor']['sv_version'] = e_tools_raptor_sv_version.SV_2005; } - if ( current_value_205 === "SV_2009"){ + if ( current_value_204 === "SV_2009"){ default_config['tools']['raptor']['sv_version'] = e_tools_raptor_sv_version.SV_2009; } - if ( current_value_205 === "SV_2012"){ + if ( current_value_204 === "SV_2012"){ default_config['tools']['raptor']['sv_version'] = e_tools_raptor_sv_version.SV_2012; } - if ( current_value_205 === "SV_2017"){ + if ( current_value_204 === "SV_2017"){ default_config['tools']['raptor']['sv_version'] = e_tools_raptor_sv_version.SV_2017; } // tools -> raptor -> div_0 // tools -> raptor -> optimization - const current_value_207 = json_config['tools']['raptor']['optimization']; - if ( current_value_207 === "area"){ + const current_value_206 = json_config['tools']['raptor']['optimization']; + if ( current_value_206 === "area"){ default_config['tools']['raptor']['optimization'] = e_tools_raptor_optimization.area; } - if ( current_value_207 === "delay"){ + if ( current_value_206 === "delay"){ default_config['tools']['raptor']['optimization'] = e_tools_raptor_optimization.delay; } - if ( current_value_207 === "mixed"){ + if ( current_value_206 === "mixed"){ default_config['tools']['raptor']['optimization'] = e_tools_raptor_optimization.mixed; } - if ( current_value_207 === "none"){ + if ( current_value_206 === "none"){ default_config['tools']['raptor']['optimization'] = e_tools_raptor_optimization.none; } // tools -> raptor -> effort - const current_value_208 = json_config['tools']['raptor']['effort']; - if ( current_value_208 === "high"){ + const current_value_207 = json_config['tools']['raptor']['effort']; + if ( current_value_207 === "high"){ default_config['tools']['raptor']['effort'] = e_tools_raptor_effort.high; } - if ( current_value_208 === "medium"){ + if ( current_value_207 === "medium"){ default_config['tools']['raptor']['effort'] = e_tools_raptor_effort.medium; } - if ( current_value_208 === "low"){ + if ( current_value_207 === "low"){ default_config['tools']['raptor']['effort'] = e_tools_raptor_effort.low; } // tools -> raptor -> fsm_encoding - const current_value_209 = json_config['tools']['raptor']['fsm_encoding']; - if ( current_value_209 === "binary"){ + const current_value_208 = json_config['tools']['raptor']['fsm_encoding']; + if ( current_value_208 === "binary"){ default_config['tools']['raptor']['fsm_encoding'] = e_tools_raptor_fsm_encoding.binary; } - if ( current_value_209 === "onehot"){ + if ( current_value_208 === "onehot"){ default_config['tools']['raptor']['fsm_encoding'] = e_tools_raptor_fsm_encoding.onehot; } // tools -> raptor -> carry - const current_value_210 = json_config['tools']['raptor']['carry']; - if ( current_value_210 === "auto"){ + const current_value_209 = json_config['tools']['raptor']['carry']; + if ( current_value_209 === "auto"){ default_config['tools']['raptor']['carry'] = e_tools_raptor_carry.auto; } - if ( current_value_210 === "all"){ + if ( current_value_209 === "all"){ default_config['tools']['raptor']['carry'] = e_tools_raptor_carry.all; } - if ( current_value_210 === "none"){ + if ( current_value_209 === "none"){ default_config['tools']['raptor']['carry'] = e_tools_raptor_carry.none; } // tools -> raptor -> pnr_netlist_language - const current_value_211 = json_config['tools']['raptor']['pnr_netlist_language']; - if ( current_value_211 === "blif"){ + const current_value_210 = json_config['tools']['raptor']['pnr_netlist_language']; + if ( current_value_210 === "blif"){ default_config['tools']['raptor']['pnr_netlist_language'] = e_tools_raptor_pnr_netlist_language.blif; } - if ( current_value_211 === "edif"){ + if ( current_value_210 === "edif"){ default_config['tools']['raptor']['pnr_netlist_language'] = e_tools_raptor_pnr_netlist_language.edif; } - if ( current_value_211 === "verilog"){ + if ( current_value_210 === "verilog"){ default_config['tools']['raptor']['pnr_netlist_language'] = e_tools_raptor_pnr_netlist_language.verilog; } - if ( current_value_211 === "vhdl"){ + if ( current_value_210 === "vhdl"){ default_config['tools']['raptor']['pnr_netlist_language'] = e_tools_raptor_pnr_netlist_language.vhdl; } // tools -> raptor -> dsp_limit - const current_value_212 = json_config['tools']['raptor']['dsp_limit']; - if (typeof current_value_212 === 'number'){ - default_config['tools']['raptor']['dsp_limit'] = current_value_212; + const current_value_211 = json_config['tools']['raptor']['dsp_limit']; + if (typeof current_value_211 === 'number'){ + default_config['tools']['raptor']['dsp_limit'] = current_value_211; } // tools -> raptor -> block_ram_limit - const current_value_213 = json_config['tools']['raptor']['block_ram_limit']; - if (typeof current_value_213 === 'number'){ - default_config['tools']['raptor']['block_ram_limit'] = current_value_213; + const current_value_212 = json_config['tools']['raptor']['block_ram_limit']; + if (typeof current_value_212 === 'number'){ + default_config['tools']['raptor']['block_ram_limit'] = current_value_212; } // tools -> raptor -> fast_synthesis - const current_value_214 = json_config['tools']['raptor']['fast_synthesis']; - if (current_value_214 === true || current_value_214 === false){ - default_config['tools']['raptor']['fast_synthesis'] = current_value_214; + const current_value_213 = json_config['tools']['raptor']['fast_synthesis']; + if (current_value_213 === true || current_value_213 === false){ + default_config['tools']['raptor']['fast_synthesis'] = current_value_213; } // tools -> raptor -> div_1 // tools -> raptor -> top_level - const current_value_216 = json_config['tools']['raptor']['top_level']; - if (typeof current_value_216 === 'string'){ - default_config['tools']['raptor']['top_level'] = current_value_216; + const current_value_215 = json_config['tools']['raptor']['top_level']; + if (typeof current_value_215 === 'string'){ + default_config['tools']['raptor']['top_level'] = current_value_215; } // tools -> raptor -> sim_source_list - const current_value_217 = json_config['tools']['raptor']['sim_source_list']; - if (Array.isArray(current_value_217)){ - default_config['tools']['raptor']['sim_source_list'] = current_value_217; + const current_value_216 = json_config['tools']['raptor']['sim_source_list']; + if (Array.isArray(current_value_216)){ + default_config['tools']['raptor']['sim_source_list'] = current_value_216; } // tools -> raptor -> simulate_rtl - const current_value_218 = json_config['tools']['raptor']['simulate_rtl']; - if (current_value_218 === true || current_value_218 === false){ - default_config['tools']['raptor']['simulate_rtl'] = current_value_218; + const current_value_217 = json_config['tools']['raptor']['simulate_rtl']; + if (current_value_217 === true || current_value_217 === false){ + default_config['tools']['raptor']['simulate_rtl'] = current_value_217; } // tools -> raptor -> waveform_rtl - const current_value_219 = json_config['tools']['raptor']['waveform_rtl']; - if (typeof current_value_219 === 'string'){ - default_config['tools']['raptor']['waveform_rtl'] = current_value_219; + const current_value_218 = json_config['tools']['raptor']['waveform_rtl']; + if (typeof current_value_218 === 'string'){ + default_config['tools']['raptor']['waveform_rtl'] = current_value_218; } // tools -> raptor -> simulator_rtl - const current_value_220 = json_config['tools']['raptor']['simulator_rtl']; - if ( current_value_220 === "verilator"){ + const current_value_219 = json_config['tools']['raptor']['simulator_rtl']; + if ( current_value_219 === "verilator"){ default_config['tools']['raptor']['simulator_rtl'] = e_tools_raptor_simulator_rtl.verilator; } - if ( current_value_220 === "ghdl"){ + if ( current_value_219 === "ghdl"){ default_config['tools']['raptor']['simulator_rtl'] = e_tools_raptor_simulator_rtl.ghdl; } - if ( current_value_220 === "icarus"){ + if ( current_value_219 === "icarus"){ default_config['tools']['raptor']['simulator_rtl'] = e_tools_raptor_simulator_rtl.icarus; } // tools -> raptor -> simulation_options_rtl - const current_value_221 = json_config['tools']['raptor']['simulation_options_rtl']; - if (typeof current_value_221 === 'string'){ - default_config['tools']['raptor']['simulation_options_rtl'] = current_value_221; + const current_value_220 = json_config['tools']['raptor']['simulation_options_rtl']; + if (typeof current_value_220 === 'string'){ + default_config['tools']['raptor']['simulation_options_rtl'] = current_value_220; } // tools -> raptor -> simulate_gate - const current_value_222 = json_config['tools']['raptor']['simulate_gate']; - if (current_value_222 === true || current_value_222 === false){ - default_config['tools']['raptor']['simulate_gate'] = current_value_222; + const current_value_221 = json_config['tools']['raptor']['simulate_gate']; + if (current_value_221 === true || current_value_221 === false){ + default_config['tools']['raptor']['simulate_gate'] = current_value_221; } // tools -> raptor -> waveform_gate - const current_value_223 = json_config['tools']['raptor']['waveform_gate']; - if (typeof current_value_223 === 'string'){ - default_config['tools']['raptor']['waveform_gate'] = current_value_223; + const current_value_222 = json_config['tools']['raptor']['waveform_gate']; + if (typeof current_value_222 === 'string'){ + default_config['tools']['raptor']['waveform_gate'] = current_value_222; } // tools -> raptor -> simulator_gate - const current_value_224 = json_config['tools']['raptor']['simulator_gate']; - if ( current_value_224 === "verilator"){ + const current_value_223 = json_config['tools']['raptor']['simulator_gate']; + if ( current_value_223 === "verilator"){ default_config['tools']['raptor']['simulator_gate'] = e_tools_raptor_simulator_gate.verilator; } - if ( current_value_224 === "ghdl"){ + if ( current_value_223 === "ghdl"){ default_config['tools']['raptor']['simulator_gate'] = e_tools_raptor_simulator_gate.ghdl; } - if ( current_value_224 === "icarus"){ + if ( current_value_223 === "icarus"){ default_config['tools']['raptor']['simulator_gate'] = e_tools_raptor_simulator_gate.icarus; } // tools -> raptor -> simulation_options_gate - const current_value_225 = json_config['tools']['raptor']['simulation_options_gate']; - if (typeof current_value_225 === 'string'){ - default_config['tools']['raptor']['simulation_options_gate'] = current_value_225; + const current_value_224 = json_config['tools']['raptor']['simulation_options_gate']; + if (typeof current_value_224 === 'string'){ + default_config['tools']['raptor']['simulation_options_gate'] = current_value_224; } // tools -> raptor -> simulate_pnr - const current_value_226 = json_config['tools']['raptor']['simulate_pnr']; - if (current_value_226 === true || current_value_226 === false){ - default_config['tools']['raptor']['simulate_pnr'] = current_value_226; + const current_value_225 = json_config['tools']['raptor']['simulate_pnr']; + if (current_value_225 === true || current_value_225 === false){ + default_config['tools']['raptor']['simulate_pnr'] = current_value_225; } // tools -> raptor -> waveform_pnr - const current_value_227 = json_config['tools']['raptor']['waveform_pnr']; - if (typeof current_value_227 === 'string'){ - default_config['tools']['raptor']['waveform_pnr'] = current_value_227; + const current_value_226 = json_config['tools']['raptor']['waveform_pnr']; + if (typeof current_value_226 === 'string'){ + default_config['tools']['raptor']['waveform_pnr'] = current_value_226; } // tools -> raptor -> simulator_pnr - const current_value_228 = json_config['tools']['raptor']['simulator_pnr']; - if ( current_value_228 === "verilator"){ + const current_value_227 = json_config['tools']['raptor']['simulator_pnr']; + if ( current_value_227 === "verilator"){ default_config['tools']['raptor']['simulator_pnr'] = e_tools_raptor_simulator_pnr.verilator; } - if ( current_value_228 === "ghdl"){ + if ( current_value_227 === "ghdl"){ default_config['tools']['raptor']['simulator_pnr'] = e_tools_raptor_simulator_pnr.ghdl; } - if ( current_value_228 === "icarus"){ + if ( current_value_227 === "icarus"){ default_config['tools']['raptor']['simulator_pnr'] = e_tools_raptor_simulator_pnr.icarus; } // tools -> raptor -> simulation_options_pnr - const current_value_229 = json_config['tools']['raptor']['simulation_options_pnr']; - if (typeof current_value_229 === 'string'){ - default_config['tools']['raptor']['simulation_options_pnr'] = current_value_229; + const current_value_228 = json_config['tools']['raptor']['simulation_options_pnr']; + if (typeof current_value_228 === 'string'){ + default_config['tools']['raptor']['simulation_options_pnr'] = current_value_228; } diff --git a/packages/colibri/src/config/config_web.ts b/packages/colibri/src/config/config_web.ts index 94440fb8..729b1928 100644 --- a/packages/colibri/src/config/config_web.ts +++ b/packages/colibri/src/config/config_web.ts @@ -341,18 +341,6 @@ export const WEB_CONFIG = ` -
-
- - -
-
- - - -
@@ -4019,8 +4007,6 @@ export const WEB_CONFIG = ` let element_value; config["general"] = {} config["general"]["general"] = {} - element_value = document.getElementById("general-general-logging").checked; - config["general"]["general"]["logging"] = element_value element_value = document.getElementById("general-general-pypath").value; config["general"]["general"]["pypath"] = element_value element_value = document.getElementById("general-general-makepath").value; @@ -4538,7 +4524,6 @@ export const WEB_CONFIG = ` } function set_config(config){ - document.getElementById("general-general-logging").checked = config["general"]["general"]["logging"]; document.getElementById("general-general-pypath").value = config["general"]["general"]["pypath"]; document.getElementById("general-general-makepath").value = config["general"]["general"]["makepath"]; document.getElementById("general-general-go_to_definition_vhdl").checked = config["general"]["general"]["go_to_definition_vhdl"]; diff --git a/packages/colibri/src/config/helpers/configs/general.yml b/packages/colibri/src/config/helpers/configs/general.yml index 7c75d48b..08a446ff 100644 --- a/packages/colibri/src/config/helpers/configs/general.yml +++ b/packages/colibri/src/config/helpers/configs/general.yml @@ -1,8 +1,3 @@ -logging: - description: "Enable show TerosHDL console with each message." - type: boolean - value: true - pypath: description: "Python3 binary path (e.g.: /usr/bin/python3). Empty if you want to use the system path. Install teroshdl. E.g: pip3 install teroshdl" type: string diff --git a/packages/colibri/src/config/web_config.html b/packages/colibri/src/config/web_config.html index d022ebe1..1df62836 100644 --- a/packages/colibri/src/config/web_config.html +++ b/packages/colibri/src/config/web_config.html @@ -321,18 +321,6 @@
-
-
- - -
-
- - - -
@@ -3999,8 +3987,6 @@

Simulation

let element_value; config["general"] = {} config["general"]["general"] = {} - element_value = document.getElementById("general-general-logging").checked; - config["general"]["general"]["logging"] = element_value element_value = document.getElementById("general-general-pypath").value; config["general"]["general"]["pypath"] = element_value element_value = document.getElementById("general-general-makepath").value; @@ -4518,7 +4504,6 @@

Simulation

} function set_config(config){ - document.getElementById("general-general-logging").checked = config["general"]["general"]["logging"]; document.getElementById("general-general-pypath").value = config["general"]["general"]["pypath"]; document.getElementById("general-general-makepath").value = config["general"]["general"]["makepath"]; document.getElementById("general-general-go_to_definition_vhdl").checked = config["general"]["general"]["go_to_definition_vhdl"]; diff --git a/packages/colibri/src/linter/base_linter.ts b/packages/colibri/src/linter/base_linter.ts index 725597e5..424fd14a 100644 --- a/packages/colibri/src/linter/base_linter.ts +++ b/packages/colibri/src/linter/base_linter.ts @@ -19,11 +19,13 @@ import { create_temp_file } from "../process/utils"; import { Process } from "../process/process"; +import { p_options } from "../process/common"; import * as common from "./common"; import { check_if_path_exist, normalize_path } from "../utils/file_utils"; import * as path_lib from "path"; import * as logger from "../logger/logger"; import { t_file } from "../project_manager/common"; +import { file_utils } from "../utils/export_t"; export abstract class Base_linter { abstract binary: string; @@ -71,14 +73,18 @@ export abstract class Base_linter { async exec_linter(file: string, options: common.l_options) { this.delete_previus_lint(); - const command = this.get_command(file, options); + const command = this.get_command(file, options); + const msg = `Linting with command: ${command} `; - // eslint-disable-next-line no-console logger.Logger.log(msg, logger.T_SEVERITY.INFO); - + const P = new Process(); - const result = await P.exec_wait(command); + const file_dir = file_utils.get_directory(file); + const opt: p_options = { + cwd: file_dir, + }; + const result = await P.exec_wait(command, opt); this.delete_previus_lint(); return result; diff --git a/packages/teroshdl/resources/release_notes/release-notes.html b/packages/teroshdl/resources/release_notes/release-notes.html index 225aaf38..77701498 100644 --- a/packages/teroshdl/resources/release_notes/release-notes.html +++ b/packages/teroshdl/resources/release_notes/release-notes.html @@ -37,6 +37,7 @@

Minor changes v5.0.5 and v5.0.7